LleoliadTianjin, Tsieina (Tir mawr)
EbostE-bost: sales@likevalves.com
FfonFfôn: +86 13920186592

Dyfeisiadau Dallas: 134 o batentau a roddwyd yn ystod wythnos Chwefror 9 »Dallas Innovations

Mae Dallas-Fort Worth yn safle 9 mewn gweithgaredd patent ymhlith 250 o ardaloedd metropolitan. Mae'r patentau a roddwyd yn cynnwys: • System amddiffyn rhwydwaith niwral ar gyfer trosglwyddo data gan Bank of America • System ffotofoltäig wedi'i hintegreiddio â tho cwmni buddsoddi mewn deunyddiau adeiladu • Dull Intuit o reoli larymau yn rhagweithiol • System storio celloedd tanwydd Lynntech • Cynhwysyn fitamin C sefydlog Mary Kay • System arwerthiant amser real Grŵp Prova • System taflunio Texas Instruments (TI) gyda delweddau gwrthbwyso • Zoysiagrass Texas A&M o'r enw DALZ 1308 • Dull Toyota o gyfathrebu ag anifeiliaid tywys
Mae Patent yr UD Rhif 10,912,281 ar gyfer system a dull o gyfathrebu ag anifeiliaid dan arweiniad wedi'i neilltuo i Toyota Motor Engineering Manufacturing North America, Inc.
Mae Dallas Invents yn astudiaeth wythnosol o batentau UDA sy'n ymwneud ag ardal fetropolitan Dallas-Fort Worth-Arlington. Mae'r rhestr yn cynnwys patentau a roddwyd i aseineion lleol a / neu ddyfeiswyr Gogledd Texas. Gellir defnyddio gweithgaredd patent fel dangosydd twf economaidd yn y dyfodol a datblygiad marchnadoedd sy'n dod i'r amlwg ac atyniad talent. Trwy olrhain dyfeiswyr ac aseinwyr yn y rhanbarth, ein nod yw darparu golwg ehangach ar weithgareddau dyfeisio yn y rhanbarth. Trefnir y rhestr gan y Dosbarthiad Patent Cydweithredol (CPC).
A: angenrheidiau dynol 15 B: busnes; cludiant 12 C: cemeg; meteleg 1 E: strwythur sefydlog 8 F: peirianneg fecanyddol; goleuo; gwresogi; arfau; ffrwydrad 5 G: ffiseg 44 H : trydan 41
Texas Instruments (Dallas) 13 Bank of America Corporation (Charlotte, NC) 12 Toyota Peirianneg a Gweithgynhyrchu Modur Gogledd America (Plano) 9 SanDisk Technologies LLC (Addison) 5 Gwasanaethau Ynni Halliburton (Houston) 4 Micron cwmni technoleg. (Boise, Idaho) 4 Futurewei Technologies Inc. (Plano) 3 GEODYNAMICS, Inc. (Millsap) 3 Ffôn Byd-eang * Link Corporation (Reston, Virginia) 3 Samsung Electronics Co, Ltd (Suwon, De Korea) 3
Steven Geoffrey Wallach (Dallas) 3 Andrew Silver (Frisco) 2 James De Tetman (McKinney) 2 James Burke (Frisco) 2 Jaya Candela Varma (Owen) 2 John T. Hardys (Fort Worth) 2 Kirk Miller (Dallas) 2 Robert E . Wiggington (McKinney) 2 Robert Mark Harrison (Vine) 2
Darperir gwybodaeth patent gan Joe Chiarella, sylfaenydd Patent Index, cwmni dadansoddi patentau, a chyhoeddwr Inventiveness Index.
I gael gwybodaeth fanylach am y patentau a roddwyd isod, chwiliwch y gronfa ddata patent a delwedd lawn USPTO.
Dyfeisiwr: Crawford Shaw Jr. (Fort Worth, Texas) Aseinai: Black Revocable Trust (Denison, Texas) Cwmni Cyfreithiol: Eversheds Sutherland (UDA) LLP (4 swyddfa nad ydynt yn lleol) Rhif cais:, Dyddiad, Cyflymder: 15877817 ar Ionawr 23 , 2018 (1113 diwrnod ar ôl rhyddhau’r cais)
Crynodeb: Gall y system plannwr addasol drwsio'r cynhwysydd ar gyfer twf planhigion ar lawr gwlad. Mae hefyd yn caniatáu i'r gofod uwchben y planhigion gael ei ddefnyddio mewn ffordd addurniadol, artistig neu ymarferol. Mae'r siafft yn gosod prif gorff y system ar y ddaear ac yn darparu ffordd i atodi baneri, dolenni, ffigurau, ac ati i'r pen arall.
[A01G] Garddio; tyfu llysiau, blodau, reis, ffrwythau, gwinwydd, hopys neu wymon; coedwigaeth; dyfrio (casglu ffrwythau, llysiau, hopys, ac ati) A01D 46/00; lluosogi planhigion trwy dechnoleg meithrin meinwe A01H 4/00 ; Offer ar gyfer torri neu blicio winwns neu fylbiau A23N 15/08; Lluosogi algâu ungell C12N 1/12; Meithriniad celloedd planhigion C12N 5/00)
Dyfeisiwr: Chen Yunhao (Plano, Texas) Aseinai: Cwmni Cyfreithiol Heb ei Ddyrannu: ZANIP (Lleol + 4395 Metropolis Arall) Rhif Cais, Dyddiad, Cyflymder: 16147606, Dyddiad Cyhoeddi: 09/29/2018 (Cais gyda dyddiad rhyddhau o 864 diwrnod) )
Haniaethol: Mae'r porthwr anifeiliaid anwes yn cynnwys cragen, tanc bwydo wedi'i osod ar un ochr i'r gragen a chynhwysydd porthiant wedi'i osod y tu mewn i'r gragen. Trefnir y fewnfa porthiant ar frig y cynhwysydd porthiant, a threfnir yr allfa gyntaf ar waelod y cynhwysydd porthiant. Mae'r tai hefyd yn cael eu darparu gyda sedd mowntio. Darperir mecanwaith gyrru, cyfrwng gyrru a switsh micro i'r sedd mowntio. Mae'r mecanwaith gyrru yn gyrru'r cyfrwng gyrru i gylchdroi. Mae'r cyfrwng gyrru yn cylchdroi unwaith ar ôl cael ei drosglwyddo, ac yn sbarduno'r switsh micro i yrru'r cyfrwng ar ongl benodol. Darperir siafft gylchdroi sy'n gysylltiedig ag ef a'i yrru ganddo'i hun, ac mae llawer o lafnau wedi'u dosbarthu'n gyfartal yn cael eu trefnu ar y siafft gylchdroi. Mae'r pot gollwng sydd wedi'i gysylltu â bolltau wedi'i drefnu ar waelod y cynhwysydd porthiant, mae'r siafft gylchdroi yn mynd trwy'r pot gollwng, ac mae llawer o lafnau'n rhannu'r pot gollwng yn llawer o gafnau gollwng.
[A01K] Hwsmonaeth anifeiliaid; gofalu am adar, pysgod, pryfed; pysgota; magu neu fagu anifeiliaid oni nodir yn wahanol; rhywogaethau newydd o anifeiliaid
Dyfeisiwr: Fredrick W. Mau, II (McKinney, Texas), Rajiv Dayal (Milpitas, California) Aseinai: Toyota Motor Engineering Manufacturing North America, Inc. (Plano, Texas) Cwmni Cyfreithiol: Dinsmore Shohl LLP (14 o swyddfeydd nad ydynt yn rhai lleol) rhif cais, dyddiad, cyflymder: 15052495 ar Chwefror 24, 2016 (1812 diwrnod o ryddhau'r cais)
Crynodeb: Yn darparu system a dull ar gyfer cyfathrebu ag anifeiliaid tywys. Mae un ymgorfforiad o'r dull yn cynnwys pennu gorchymyn cyntaf a ddarperir i'r defnyddiwr i fynd i'r gyrchfan, pennu ail orchymyn a ddarperir i'r anifail dall i gyfateb i'r gorchymyn cyntaf, ac allbynnu'r gorchymyn cyntaf i arwain y defnyddiwr i'r cyrchfan. Mae rhai ymgorfforiadau'n cynnwys allbynnu ail orchymyn i dywys yr anifail tywys i'r cyrchfan, lle mae'r ail orchymyn yn allbwn fel rhywbeth anganfyddadwy i'r defnyddiwr ond ganfyddadwy i'r anifail tywys.
[A01K] Hwsmonaeth anifeiliaid; gofalu am adar, pysgod, pryfed; pysgota; magu neu fagu anifeiliaid oni nodir yn wahanol; rhywogaethau newydd o anifeiliaid
Dyfeisiwr: Brenda Blaylock (Decatur, Texas) Aseinai: Cwmni Cyfreithiol Heb ei Aseinio: Cwmni Cyfreithiol Leavitt Eldredge (lleoliad heb ei ganfod) Rhif y cais, dyddiad, cyflymder: 15/10/421 ar 02/ 10/2017 (Dyddiad y cais yw 1460 diwrnod cyhoeddi )
Haniaethol: Mae system gludo amlswyddogaethol gyfrinachol yn cuddio bodolaeth bagiau a dillad y gellir eu cau. Mae'r cwdyn wedi'i guddio gan blygiadau lluosog ynghlwm wrth y dillad. Gellir storio eiddo personol mewn codenni a'u cuddio fel hyn.
[A41D] Dillad allanol; dillad amddiffynnol; ategolion (amddiffyniad llygad neu glust A61F 9/00, A61F 11/00; siwt chwys A61H 36/00)
Dyfeisiwr: Robert E. Wigginton (McKinney, TX) Aseinai: Dyfais Safonol Newydd, LLC (San Antonio, TX) Cwmni Cyfreithiol: Greg Goshorn, PC (1 swyddfa nad yw'n lleol) Rhif cais, dyddiad, cyflymder: Mai 8, 2019 16406224 (gellir rhyddhau cais o fewn 643 diwrnod)
Haniaethol: Darperir cnau adain uwch a bollt adain uwch. Gellir eu defnyddio ar eu pen eu hunain neu mewn cyfuniad â'i gilydd. Mae'r cnau adain super yn cynnwys pen, dwy adain yn ymestyn yn ochrol o ochrau gyferbyn y pen, twll cau yn berpendicwlar i'r pen rhwng y ddwy adain, a rhigol cau ar wyneb uchaf y pen. Mae siafft ochr amlochrog yn ymestyn i lawr o'r pen, ac mae siafft wedi'i edafu yn ymestyn i lawr o siafft yr arwyneb ochr polygonaidd. Mae'r bollt adain super yn cynnwys pen, dwy adain yn ymestyn yn ochrol o ochrau gyferbyn y pen, twll cau yn berpendicwlar i'r pen rhwng y ddwy adain, rhigol cau yn wyneb uchaf cyntaf y pen, ac arwyneb ochr polygonal. Siafft yn ymestyn i lawr o'r pen a thwll edau yn mynd trwy'r siafft yn echelinol.
Dyfeisiwr: Robert E. Wigginton (McKinney, TX) Aseinai: Dyfais Safonol Newydd, LLC (San Antonio, TX) Cwmni Cyfreithiol: Greg Goshorn, PC (1 swyddfa nad yw'n lleol) Rhif cais, dyddiad, cyflymder: 17029217 ar Fedi 23, 2020 (rhyddhawyd y cais am 139 diwrnod)
Haniaethol: Darperir bollt cnau adain super. Mae'r bollt adain super yn cynnwys pen, dwy adain yn ymestyn yn ochrol o ochrau gyferbyn y pen, twll cau yn berpendicwlar i'r pen rhwng y ddwy adain, rhigol cau yn wyneb uchaf cyntaf y pen, ac arwyneb ochr polygonal. Siafft yn ymestyn i lawr o'r pen a thwll edau yn mynd trwy'r siafft yn echelinol.
Dyfeisiwr: Stephen John Collins (Fort Worth, Texas) Aseinai: Alcon, Inc. (Fribourg, CH) Cwmni Cyfreithiol: Dim Cwnsler Rhif Cais, Dyddiad, Cyflymder: 16059735 ar 08/09 /2018 (cais wedi'i ryddhau mewn 915 diwrnod)
Crynodeb: Mae'r ddyfais offthalmig yn cynnwys dyfais optegol ag echel optegol a strwythur cyffyrddol dolen gaeedig ynghyd â'r ddyfais optegol. Mae'r strwythur haptig dolen gaeedig yn cynnwys colfach gyntaf sydd â rhan gyntaf, ail ran, a rhan gyswllt yn ymestyn rhwng y rhan gyntaf a'r ail ran. Mae gan y rhan gyntaf gydran gyntaf yn ymestyn i gyfeiriad onglog cyntaf ac ail gydran yn ymestyn i gyfeiriad onglog ail gyferbyn â'r cyfeiriad onglog cyntaf. Mae'r strwythur haptig dolen gaeedig ymhellach yn cynnwys ail golfach gan gynnwys croestoriad rheiddiol a chroestoriad echelinol sy'n ymestyn o'r croestoriad echelinol i gyfeiriad onglog cyntaf, ac mae gan y trawstoriad rheiddiol arwynebedd trawsdoriadol sy'n fwy na'r trawstoriad mwyaf. arwynebedd y colfach gyntaf. .
[A61F] Gellir mewnblannu hidlwyr mewn cynwysyddion gwaed; prosthesis; dyfeisiau sy'n darparu perchnogaeth o strwythur tiwbaidd y corff dynol neu'n ei atal rhag cwympo; orthopaedeg, nyrsys neu ddulliau atal cenhedlu; eplesu; trin neu amddiffyn llygaid neu glustiau; rhwymynnau , Dillad neu pad amsugnol; pecyn cymorth cyntaf (prosthesis deintyddol A61C) [2006.01]
Dyfeiswyr: Mahdi Haghshenas Jaryani (Oaxaca, TX), Muthu Wijesundara (Fort Worth, TX), Wei Carrigan (Arlington, TX) Aseinai: Bwrdd Cyfarwyddwyr System Prifysgol Texa (Austin, Texas) Cwmni Cyfreithiol: Meunier Carlin Curfman LLC (1 non -swyddfa leol) Rhif cais, dyddiad, cyflymder: 14990257 ar Ionawr 7, 2016 (dyddiad rhyddhau 1860 diwrnod)
Crynodeb: Mae'r datgeliad hwn yn cynnwys dyfeisiau trin a dulliau cysylltiedig. Mae rhai dyfeisiau trin yn cynnwys actiwadydd gydag adran gyntaf lled-anhyblyg, ail adran lled-anhyblyg, ac un neu fwy o unedau hyblyg wedi'u trefnu rhwng yr adran gyntaf a'r ail adran, lle mae'r actiwadyddion wedi'u ffurfweddu i'w cyplysu â ffynhonnell hylif, er enghraifft, gall y ffynhonnell hylif fod mewn cyfathrebu hylif i newid pwysedd mewnol un neu fwy o gelloedd, a lle mae pob cell wedi'i ffurfweddu fel bod addasiad pwysedd mewnol y gell yn achosi dadleoliad onglog o'r ail adran o'i gymharu â'r cyntaf adran.
[A61H] Offer ffisiotherapi, megis offer a ddefnyddir i leoli neu ysgogi pwyntiau atgyrch y corff; resbiradaeth artiffisial; tylino; offer bath ar gyfer triniaeth arbennig neu ddibenion glanweithiol neu rannau penodol o'r corff (electrotherapi, therapi magnetig, radiotherapi, therapi uwchsain A61N)
Dyfeisiwr: Isaac Thomas (Carrollton, TX) Aseinai: Mary Kay & Company (Addison, TX) Cwmni Cyfreithiol: Norton Rose Fulbright ) US LLP (lleol + 13 o ddinasoedd metropolitan eraill) rhif cais, dyddiad, cyflymder: 15954364 ar 04/16/ 2018 (cais 1030 diwrnod wedi'i ryddhau)
Crynodeb: Wedi'i ddatgelu yw cyfansoddiad sy'n cynnwys asid ascorbig neu ei ddeilliadau, cyfansoddion sy'n cynnwys silicon ac olewau hanfodol, lle pan fydd y cyfansoddiad yn cael ei storio am o leiaf un diwrnod, mae o leiaf 50% o'r swm cychwynnol o asid asgorbig yn y cyfansoddiad yn aros yn sefydlog. Gadewch ef ar dymheredd yr ystafell am 1 mis. Gall y cyfansoddiad fod yn anddyfrllyd.
[A61K] Paratoadau at ddibenion meddygol, deintyddol neu doiled (dyfeisiau neu ddulliau a ddefnyddir yn arbennig i wneud meddyginiaethau yn ffurfiau corfforol neu weinyddol arbennig A61J 3/00; at ddibenion cemegol neu at ddiaroglyddion aer, diheintio neu sterileiddio Defnyddio deunyddiau, neu ar gyfer rhwymynnau , gorchuddion, padiau amsugnol neu gyflenwadau llawfeddygol A61L cyfansoddiad sebon C11D)
Cyfansoddiad sy'n cynnwys derbynnydd erythropoietin cod antisense a'i ddefnydd Patent Rhif 10912791
Dyfeiswyr: Connie Hsia (Dallas, Texas), Kytai Nguyen (Prairie, Texas), Orson W. Moe (Dallas, Texas) Aseinai: Bwrdd Cyfarwyddwyr System Prifysgol Texas (Austin, Texas) Cwmni Cyfreithiol: Parker Highlander PLLC ( 1 swyddfa nad yw'n lleol), rhif cais, dyddiad, cyflymder: 16/11/046 (Tachwedd 11, 2017) (dyddiad cyhoeddi yw 1247 diwrnod) )
Crynodeb: Mae'r erthygl hon yn darparu nanoronynnau sy'n cynnwys derbynnydd erythropoietin (RopE) wedi'i amgodio antisense yn unig neu mewn cyfuniad â derbynnydd erythropoietin (EpoR). Darperir yma hefyd ddulliau ar gyfer trin neu atal afiechydon yr ysgyfaint, sy'n cynnwys defnyddio RopE yn unig neu mewn cyfuniad ag EpoR.
[A61K] Paratoadau at ddibenion meddygol, deintyddol neu doiled (dyfeisiau neu ddulliau a ddefnyddir yn arbennig i wneud meddyginiaethau yn ffurfiau corfforol neu weinyddol arbennig A61J 3/00; at ddibenion cemegol neu at ddiaroglyddion aer, diheintio neu sterileiddio Defnyddio deunyddiau, neu ar gyfer rhwymynnau , gorchuddion, padiau amsugnol neu gyflenwadau llawfeddygol A61L cyfansoddiad sebon C11D)
Dyfeisiwr: Carrie Lee Childress (Dallas, Texas) Aseinai: Smith Nephew, Inc. (Memphis, Tennessee) Cwmni Cyfreithiol: Knobbe, Martens, Olson Bear, LLP (9 swyddfa nad yw'n lleol) Rhif cais : Dyddiad, Cyflymder: 16219641 ar Ragfyr 13, 2018 (789 diwrnod o gais wedi'i ryddhau)
Crynodeb: Datgelir ymgorfforiad o ddyfais therapi clwyfau pwysedd negyddol a dull o ddefnyddio'r ddyfais. Mewn rhai ymgorfforiadau, mae'r ddyfais therapi clwyfau pwysedd negyddol yn cynnwys rheolydd wedi'i ffurfweddu i bennu'r pot (neu'r gwisgo) yn seiliedig yn rhannol o leiaf ar un neu fwy o nodweddion y signal pwysau a gynhyrchir gan y ffynhonnell pwysau negyddol a'i fonitro Mae lefel y exudate. Synhwyrydd Pwysau. Un nodwedd o'r fath o'r signal pwysedd yw'r osgled, a all gynyddu wrth i lefel y secretions yn y can (neu'r dresin) gynyddu. Gall y canister (neu'r dresin) gynnwys hidlydd sydd wedi'i ffurfweddu i'w rwystro er mwyn atal y canister (neu'r dresin) rhag gorlifo. Efallai y bydd y rheolydd wedi'i ffurfweddu hefyd i ganfod a nodi cyflwr cyn-llawn y tanc (neu'r dresin) cyn i'r hidlydd gael ei rwystro. O ganlyniad, gellir cyflawni gweithrediad mwy effeithiol a dibynadwy'r ddyfais trin clwyfau pwysedd negyddol.
[A61M] Dyfais ar gyfer cyflwyno cyfryngau i mewn neu i mewn i'r corff (cyflwyno cyfryngau i gorff neu gorff anifeiliaid A61D 7/00; dyfais ar gyfer gosod tampon A61F 13/26; dyfais ar gyfer bwyd neu feddyginiaeth drwy'r geg A61J; ar gyfer casglu Y cynhwysydd ar gyfer storio neu reoli meddyginiaeth gwaed neu hylif A61J 1/05); offer a ddefnyddir i drosglwyddo cyfryngau corff neu gael cyfryngau o'r corff (llawdriniaeth A61B; agweddau cemegol cyflenwadau llawfeddygol A61L; elfennau magnetig a osodir yn y corff dynol ar gyfer therapi magnetig A61N 2/10); cynhyrchu neu derfynu offer cwsg neu gyflwr cwsg[5]
Dyfeisiwr: George R. Lynch (Koper, Texas) Aseinai: ViCentra BV (Utrecht, yr Iseldiroedd) Cwmni Cyfreithiol: Hahn Loeser Parks LLP (5 o swyddfeydd nad ydynt yn lleol) Rhif cais, Dyddiad, cyflymder: 15739002 ar 21 Gorffennaf, 2016 (1664 diwrnod cais a ryddhawyd)
Crynodeb: Mae'r cais hwn yn ymwneud â set trwyth ([b] 80 [/b]). Mae gan y set trwyth ([b] 80 [/ b]) sylfaen ([b] 90 [/ b]) ar gyfer gosod ar y defnyddiwr, a chysylltydd ([b] 100 [/ b] ]) ([b] 90 [/b]) yn ffurfio llwybr llif rhwng y cysylltydd ([b] 100 [/ b]) a'r caniwla ([b] 90 [/b]) y gellir eu gosod ar y sylfaen. Mae'r cysylltydd ([b] 100 [/ b]) wedi'i ffurfweddu i gael ei osod yn ddetholus i'r sylfaen ([b] 90 [/ b]) mewn o leiaf ddau gyfeiriad gosod a bennwyd ymlaen llaw. Mae'r cais hwn hefyd yn ymwneud â sylfaen set trwyth ([b] 90 [/ b]), y cysylltydd set trwyth ([b] 100 [/ b]), y llawes set trwyth a'r dull o gydosod y set trwyth ([b] ] 80 [ /b]).
[A61M] Dyfais ar gyfer cyflwyno cyfryngau i mewn neu i mewn i'r corff (cyflwyno cyfryngau i gorff neu gorff anifeiliaid A61D 7/00; dyfais ar gyfer gosod tampon A61F 13/26; dyfais ar gyfer bwyd neu feddyginiaeth drwy'r geg A61J; ar gyfer casglu Y cynhwysydd ar gyfer storio neu reoli meddyginiaeth gwaed neu hylif A61J 1/05); offer a ddefnyddir i drosglwyddo cyfryngau corff neu gael cyfryngau o'r corff (llawdriniaeth A61B; agweddau cemegol cyflenwadau llawfeddygol A61L; elfennau magnetig a osodir yn y corff dynol ar gyfer therapi magnetig A61N 2/10); cynhyrchu neu derfynu offer cwsg neu gyflwr cwsg[5]
Dyfeiswyr: Barbara Durkee (Addison, Texas), Daniel Ramirez (Addison, Texas), Geetha Kalahasti (Addison, Texas), Lisha Vanpelt (Addison, Texas), Maria Milagros Sanchez (Addison, Texas), Michael Frushour (Addison, Aseinai: Mary Kay (Addison, Texas) Cwmni Cyfreithiol: Norton Rose Fulbright US LLP (lleol + 13 o ddinasoedd eraill) ) Rhif y cais, dyddiad, cyflymder: 15467928 ar 03/23/2017 (cais wedi'i ryddhau mewn 1419 diwrnod)
Crynodeb: Mae'r ddyfais bresennol yn ymwneud yn gyffredinol â dulliau a chyfansoddiadau sy'n cynnwys [i] Hylocereus undatus [/i] dyfyniad ffrwythau a [i] Aloe barbadensis [/ i] dyfyniad dail a ddefnyddir fel gwrthocsidyddion a / neu atal mynegiant TNF.
Dyfeisiwr: Lynn Cook Winterton (Keller, Texas) Aseinai: Alcon Inc. (Fribourg, CH) Cwmni Cyfreithiol: Dim Cwnsler Rhif Cais, Dyddiad, Cyflymder: 16181763 ar 11/06/2018 (826 diwrnod o ryddhad cais)
Crynodeb: Mae'r ddyfais bresennol yn ymwneud â lens cyswllt hydrogel meddal, yn enwedig lens cyswllt hydrogel silicon, sydd â'r gallu i ddarparu cyfryngau cysur hydroffobig i lygaid y gwisgwr. Mae cyfryngau cysur hydroffobig yn cynnwys monoglyseridau, diglyseridau, triglyseridau, glycolipidau, glyceroglycolipidau, sffingolipidau, sffingolipidau, ffosffolipidau, asidau brasterog, alcoholau brasterog, a hydrocarbonau C12, ond nid ydynt yn gyfyngedig iddynt. Yr hyd yw cadwyn [/tanysgrif] -C [tanysgrif] 28 [/tanysgrif], olew mwynol, olew silicon neu eu cymysgedd. Gellir ei ryddhau o'r lens cyffwrdd hydrogel meddal i lygad y gwisgwr pan gaiff ei wisgo, a thrwy hynny wella a sefydlogi haen lipid y ffilm rhwygo a lleihau sychder y llygad.
[A61K] Paratoadau at ddibenion meddygol, deintyddol neu doiled (dyfeisiau neu ddulliau a ddefnyddir yn arbennig i wneud meddyginiaethau yn ffurfiau corfforol neu weinyddol arbennig A61J 3/00; at ddibenion cemegol neu at ddiaroglyddion aer, diheintio neu sterileiddio Defnyddio deunyddiau, neu ar gyfer rhwymynnau , gorchuddion, padiau amsugnol neu gyflenwadau llawfeddygol A61L cyfansoddiad sebon C11D)
Dyfeiswyr: Charles B. Humphreyson (Dallas, Texas), Emmitt J. Smith, III (Dallas, Texas), Haroon I. Alvi (Dallas, Texas), Richard L. Lackey (Dallas, Texas) Aseinai: PROVA GROUP, INC. (Dallas, Texas) Cwmni Cyfreithiol: Jackson Walker LLP (lleol + 3 dinas fetropolitan arall) rhif cais, dyddiad, cyflymder: 16285832, dyddiad 02/26/2019 (dyddiad cyhoeddi yw 714 diwrnod)
Crynodeb: Dull o gynnal loteri, gan gynnwys darllen y dynodwr eitem o'r tag adnabod sydd wedi'i osod ar yr eitem yn y system rheoli offer cyn y digwyddiad sy'n ymwneud â'r eitem. Ar ôl i'r digwyddiad ddigwydd, bydd y data hysbysiad loteri yn cael ei anfon at y defnyddiwr. Yna derbyn ceisiadau prynu tocyn loteri lluosog o ddyfeisiau lluosog a'u prosesu. Yna caiff y tocynnau loteri eu dosbarthu i ddyfeisiau lluosog ar ffurf electronig, er enghraifft. Yna dewiswch docyn loteri, ac anfonir y data hysbysiad buddugol i'r ddyfais berthnasol. Gall yr enillydd wirio hunaniaeth yr eitem fuddugol trwy ddarllen y tag adnabod sydd wedi'i osod ar yr eitem yn y system rheoli offer ar ôl y digwyddiad.
[A63F] Gemau cardiau, cardbord neu roulette; gemau dan do gyda chonsolau gêm symudol bach; gemau fideo; gemau ddim ar gael eto ar gyfer [5]
Dyfeisiwr: Ambika Chandra (Dallas, Texas), Anthony D. Genovesi (Dallas, Texas) Aseinai: TEXAS AM SYSTEM BRIFYSGOL (University City, Texas) Cwmni Cyfreithiol: Dentons US LLP (Lleol + 12 isffordd arall)) Rhif y cais, dyddiad, cyflymder: 16501556 ar Ebrill 29, 2019 (dyddiad cyhoeddi 652 diwrnod)
Crynodeb: Mae DALZ 1308 yn fath newydd ac unigryw o Zoysia hynod fach [/ i] x [i] Zoysia [/ i] F [tanysgrif] 1 [/ tanysgrifiad] hybrid gyda nodweddion newydd, gan gynnwys uchder canopi corrach, dwysedd cangen uchel, gwead dail mân, lliw genetig gwyrdd yn yr haf, cadw lliw hir yn yr hydref a'r gaeaf, ansawdd tyweirch da, ac ymwrthedd i fannau geni melyn-frown. Categori patent: Amh
Dyfeisiwr: Andrew Fischer (Euless, Texas) Aseinai: Abbott Laboratories (Abbott Park, Illinois) Cwmni Cyfreithiol: Hanley, Flight a Zimmerman, LLC (1 swyddfa nad yw'n lleol) Rhif y cais, dyddiad, cyflymder: 14687398 ar 04/15/2015 (2127 diwrnod o gais wedi'i ryddhau)
Crynodeb: Datgelir dulliau, dyfeisiau a systemau rhagorol ar gyfer gweithgynhyrchu actiwadyddion defnynnau. Mae dull enghreifftiol ar gyfer gweithgynhyrchu actiwadydd defnyn a ddatgelir yma yn cynnwys abladu swbstrad cyntaf â laser i ffurfio arae electrod ar y swbstrad cyntaf. Mae dulliau enghreifftiol yn cynnwys cymhwyso o leiaf un o ddeunyddiau hydroffobig neu ddielectrig i'r arae electrod. Mae'r dull enghreifftiol hefyd yn cynnwys alinio'r swbstrad cyntaf â'r ail swbstrad. Mae'r ail swbstrad yn cynnwys ail haen prosesu. Mewn dull enghreifftiol, mae'r aliniad yn cynnwys bwlch rhwng o leiaf gyfran o'r haen brosesu gyntaf ac o leiaf ran o'r ail haen brosesu.
[B01L] Offer labordy cemegol neu ffisegol cyffredinol (offer A61 at ddibenion meddygol neu fferyllol; offer diwydiannol neu offer labordy y gellir cymharu ei strwythur a'i berfformiad ag offer diwydiannol tebyg, cyfeiriwch at y categorïau perthnasol o offer diwydiannol, yn enwedig is-ddosbarthiadau B01 a C12 offer gwahanu neu ddistyllu B01F; F16L ar y cyd pibell;
Dyfeisiwr: Geoffrey Duncan Hitchens (Allen, Texas) Aseinai: Lynntech, Inc. (Coleg Prifysgol Texas) Cwmni Cyfreithiol: Chalker Flores, LLP (lleol) rhif cais, dyddiad, cyflymder: 15865413 , 01/09/2018 (1127 diwrnod oed cais wedi'i ryddhau)
CRYNODEB O'R DYFAIS Mae'r ddyfais bresennol yn cynnwys dyfais, system a dull ar gyfer gwella effeithlonrwydd gwahanu gronynnau, gan gynnwys dyfais codi tâl gronynnau sy'n addas ar gyfer cymhwyso codi tâl unipolar yn bennaf ar luosogrwydd gronynnau mewn llif hylif fel llif aer; lle mae'r ddyfais gwefru gronynnau Wedi'i lleoli i fyny'r afon o'r ddyfais gwefru gronynnau a'i haddasu i ddarparu lluosogrwydd o ronynnau a godir gan y ddyfais gwefru gronynnau i ddyfais gwyro gronynnau, gall y ddyfais gwyro gronynnau wahanu'r gronynnau a godir gan y ddyfais gwefru gronynnau heb fawr ddim gronynnau . Mae'r llif hylif craidd sy'n cynnwys llwch yn gwahanu. gronyn.
[B03C] Gwahaniad magnetig neu wahaniad electrostatig o ddeunyddiau solet o ddeunyddiau solet neu hylifau; gwahanu gan feysydd trydan foltedd uchel (gan ddefnyddio hidlwyr trydan neu magnetig B01D 35/06; isotop gwahanu B01D 59/00; gwahaniad magnetig neu wahaniad electrostatig trwy ddulliau eraill Cyfuno gwahaniad a gwahaniad solet i ddefnyddio B03B, B07B; gwahanu'r pentwr papur oddi wrth B65H 3/00 magned neu coil electromagnetig ei hun (H01F) [5]
Dyfeisiwr: Chen Yunhao (Plano, Texas) Aseinai: Cwmni Cyfreithiol Heb ei Aseinio: ZANIP (lleol + 4395 o ddinasoedd metropolitan eraill) rhif cais, dyddiad, cyflymder: 16147601 (dyddiad rhyddhau: 2018/09/29), yr amser cyhoeddi cais yw 864 diwrnod )
Haniaethol: Mae dyfais cylchdroi gwrth-glynu wedi'i osod o dan y pen, gan gynnwys sylfaen a rhedwr. Trefnir slot ar y sylfaen, a threfnir dwy flanges ar ddwy ochr wyneb uchaf y slot. Mae'r sianel llif ar ffurf disg, a threfnir siafft cylchdroi yn y ganolfan. Darperir estyniad ar gylchedd y rhedwr, ac mae'r estyniad wedi'i osod ar y pen. Darperir mecanwaith gyrru a mecanwaith cyfyngu ar ddwy ochr y rhedwr yn y drefn honno. Mae'r mecanwaith gyrru yn gyrru'r rhedwr i gylchdroi, ac mae'r mecanwaith terfyn yn cyfyngu ar gyfeiriad ac osgled cylchdro'r rhedwr, a thrwy hynny yrru'r pen i symud fel nodio.
[B25J] Manipulator; blwch gyda manipulator (dyfais robot A01D 46/30 ar gyfer casglu ffrwythau, llysiau, hopys neu eitemau tebyg yn y drefn honno; manipulator nodwyddau A61B 17/062 ar gyfer llawdriniaeth; a melin rolio B21B Triniaduron cysylltiedig 39/20; manipulators sy'n gysylltiedig â'r peiriant gofannu B21J 13/10; dyfais ar gyfer gosod olwynion neu eu rhannau B60B 30/00; ynysu ymbelydredd G21F 7/06) [5]
Dyfeisiwr: Geoffrey D. Gaither (Brighton, Michigan) Aseinai: Toyota Motor Engineering Manufacturing North America, Inc. (Plano, Texas) Cwmni Cyfreithiol: Sheppard, Mullin, Richter Hampton LLP (7 swyddfa nad ydynt yn lleol) rhif cais, dyddiad, cyflymder : 158/96/02/13/2018 (angen 1092 diwrnod o ryddhau'r cais)
Crynodeb: Yn darparu system a dull ar gyfer gyrru cerbyd yn awtomatig i un neu fwy o leoliadau neu adleoli'r cerbyd i gyflawni'r golau haul gorau. Wrth benderfynu a ddylid symud, adleoli neu adleoli'r cerbyd i gyflawni'r golau haul gorau, gellir ystyried amodau gweithredu'r cerbyd, amodau amgylcheddol, ac amodau'r ffordd. Yr heulwen orau yw gwefru dyfais storio ynni'r cerbyd i'r cyflwr codi tâl a ddymunir. Gellir ystyried ystyriaethau eraill, megis yr amser sydd ei angen i gyrraedd lleoliad newydd a dychwelyd o'r lleoliad hwnnw mewn pryd ar gyfer defnydd nesaf y cerbyd. Gallwch hefyd ystyried y defnydd o ynni i'r lleoliad newydd ac oddi yno.
[B60L] Gyrru cerbydau trydan (trefnu neu osod dyfeisiau gyrru trydan neu nifer o symudwyr cysefin gwahanol ar gyfer cyd-yrru neu ar y cyd cerbydau B60K 1/00, B60K 6/20; trefnu neu osod dyfeisiau trawsyrru trydan cerbydau B60K 17 / 12, B60K 17/14; cyflenwad pŵer ar gyfer offer ategol cerbydau trydan (o'i gymharu â cherbyd B60D 1/64 dyfais cysylltiad trydanol wedi'i gyfuno â chysylltiad mecanyddol; gwresogi trydan ar gyfer cerbyd B60H 1/00); system brecio trydan cerbyd cyffredinol (rheoli neu addasu modur H02P); ymddyrchafu magnetig cerbyd neu ymddyrchafiad; monitro newidynnau gweithredu cerbydau trydan; cerbydau trydan Dyfais diogelwch trydanol [4]
Dyfeisiwr: Richard G. DeJong (Great Falls, Michigan), Steven R. Sorenson (Ypsilanti, Michigan) Aseinai: Toyota Motor Engineering Manufacturing North America, Inc. (Play, Texas Connaught) Cwmni Cyfreithiol: Darrow Mustafa PC (2 heb fod yn lleol swyddfeydd) Rhif cais, dyddiad, cyflymder: 16356154 ar 03/18/2019 (cais 694 diwrnod wedi'i gyhoeddi)
Haniaethol: Yn darparu plât cymorth inswleiddio sain ar gyfer cydrannau strwythurol, mae'r plât cymorth inswleiddio sain yn cynnwys cydran swbstrad sylfaen ac o leiaf un nodwedd atgyfnerthu lleol. Mae'r nodweddion atgyfnerthu yn gyffredinol yn ymestyn ar draws yr aelod swbstrad sylfaen i gyfeiriad hyd sy'n cyd-fynd â chyfeiriad y llif aer sy'n arddangos pwysau cythryblus ar yr aelod swbstrad sylfaen, hynny yw, yn sylweddol gyfochrog neu'n sylweddol berpendicwlar i gyfeiriad y llif aer. Mae'r nodwedd wella yn cydweithredu â'r gydran swbstrad sylfaen i leihau trosglwyddiad egni trwy'r gydran swbstrad sylfaen. Os yw cydran y swbstrad sylfaen yn banel corff, gall y nodwedd atgyfnerthu fod yn aelod asen atgyfnerthu; fel arall, gall yr aelod atgyfnerthu fod yn aelod asen atgyfnerthu. Yn achos gwydr wedi'i lamineiddio, mae'r nodweddion atgyfnerthu wedi'u lleoli mewn haen ganolraddol rhwng yr haenau gwydr. Gall yr haen ganolraddol gynnwys rhanbarth cyntaf ac ail ranbarth, y rhanbarth cyntaf gan gynnwys polyvinyl butyral â anhyblygedd cyntaf, a'r ail ranbarth gan gynnwys polyvinyl butyral ag anhyblygedd ail is. Gall y nodwedd atgyfnerthu hefyd fod yn galedu ymyl.
[B60R] Cerbydau, ategolion cerbyd neu rannau cerbyd nad ydynt yn cael eu darparu at ddibenion eraill (wedi'u haddasu'n arbennig ar gyfer atal tân, aerglos neu ddiffodd tân mewn ceir A62C 3/07)
System canfod eitemau gwisgadwy a rheoli hinsawdd ar gyfer y tu mewn i'r cerbyd Patent Rhif 10913413
Dyfeisiwr: Michael Paul Rowe (Panckney, Michigan) Aseinai: Toyota Motor Engineering & Gweithgynhyrchu Gogledd America (Plano, Texas) Cwmni Cyfreithiol: Darrow Mustafa PC (2 swyddfa nad yw'n lleol) Rhif y cais, dyddiad, a chyflymder: 16209113 ar Ragfyr 4, 2018 (mae angen rhyddhau'r cais am 798 diwrnod)
Crynodeb: Mae'r systemau, y dulliau, ac ymgorfforiadau eraill a ddisgrifir yma yn golygu addasu hinsawdd y cerbyd yn awtomatig yn seiliedig ar ganfod y math o ddillad a wisgir gan feddianwyr y cerbyd. Mewn un ymgorfforiad, mae'r dull yn cynnwys: mewn ymateb i gael dynodwr gan y darllenydd, lle mae'r dynodwr yn gysylltiedig â thag sydd ynghlwm wrth erthygl gwisgadwy ger y darllenydd, gan bennu math yr erthygl gwisgadwy yn seiliedig ar y dynodwr. Mae'r dull yn cynnwys rheoli'r system rheoli hinsawdd yn seiliedig yn rhannol o leiaf ar y math o eitem gwisgadwy.
[B60H] Trefnu neu addasu offer gwresogi, oeri, awyru neu offer trin aer arall yn enwedig ar gyfer gofod teithwyr neu gargo'r cerbyd
Dyfeisiwr: Brad E. Taylor (Dallas, Texas) Aseinai: Brunswick Corporation (Metawa, Illinois) Cwmni Cyfreithiol: Andrus Intellectual Property Law, LLP (1 heb fod yn swyddfa leol) rhif cais, dyddiad , Cyflymder: 16375278 ar 04/04/2019 (cais 677 diwrnod wedi'i ryddhau)
Crynodeb: Dull o symud llong sy'n cynnwys derbyn signal mewnbwn o ddyfais fewnbwn defnyddiwr analog a chymharu maint y signal mewnbwn â throthwy a bennwyd ymlaen llaw. Mewn ymateb i'r ffaith bod maint y signal mewnbwn yn llai na'r trothwy a bennwyd ymlaen llaw, mae'r dull yn cynnwys actio'r ddyfais gyrru morol cyntaf i gynhyrchu byrdwn. Mewn ymateb i'r ffaith bod maint y signal mewnbwn yn fwy neu'n hafal i'r trothwy a bennwyd ymlaen llaw, mae'r dull yn cynnwys actio'r ddyfais gyrru morol gyntaf a'r ail ddyfais gyrru morol i gynhyrchu byrdwn. Pan fo maint y signal mewnbwn yn llai na'r trothwy a bennwyd ymlaen llaw, nid yw'r ail ddyfais gyrru morol yn cynhyrchu byrdwn.
[B63H] Gyrru neu lywio llongau (gyrru awyrennau clustog aer B60V 1/14; yn benodol i longau tanfor, ac eithrio B63G, ac eithrio ynni niwclear; yn benodol i dorpido F42B 19/00)
Dyfeisiwr: John Richard McCullough (Weatherford, TX), Paul K. Oldroyd (Azil, TX) Aseinai: Textron Innovations Inc. (Providence) Cwmni Cyfreithiol: Lawrence Youst PLLC (lleol) rhif cais, dyddiad, cyflymder: 16790676 ar 13eg 13eg, 2020 (cyhoeddwyd am 362 diwrnod)
Crynodeb: Mae gan yr awyren ffiwslawdd gydag arae gwthiad dosranedig dau ddimensiwn wedi'i gysylltu ag ef, ac mae gan y ffiwslawdd gydrannau gyriant lluosog a reolir yn annibynnol gan y system rheoli hedfan. Mae pob cynulliad gyrru yn cynnwys cwt sydd â gimbal yn gysylltiedig ag ef, a'r cwt i'w gogwyddo tua'r echelin gyntaf a'r ail mewn ymateb i'r actiwadydd cyntaf a'r ail. Mae'r system gyrru wedi'i chysylltu â'r gimbal a gellir ei gogwyddo ag ef. Mae'r system gyrru yn cynnwys modur trydan gyda gyrrwr allbwn a chynulliad rotor gyda lluosogrwydd o lafnau rotor sy'n cylchdroi mewn awyren cylchdro i gynhyrchu byrdwn gyda fector gwthiad. Mewn ymateb i wall fector byrdwn y cynulliad gyriant cyntaf, mae'r system rheoli hedfan yn gorchymyn o leiaf ail gynulliad gyrru a drefnir yn gymesur mewn perthynas â'r cynulliad gyriant cyntaf i wrthweithio gwall fector gwthiad, a thrwy hynny ddarparu rheolaeth gyfeiriadol ddiangen ar gyfer yr awyren. . .
[B64D] Offer wedi'i ymgynnull ar neu ar awyrennau; siwtiau hedfan; menyn cnau daear; trefnu neu osod unedau pŵer neu gerau gyriant ar awyrennau
Dyfeiswyr: George R. Decker (Mansfield, Texas), James E. King (North Richland Hills, Texas), William Scott Atkins (Cangen Ffermwyr Texas) Person: Textron Innovations Inc. (Fort Worth, Texas) Cwmni Cyfreithiol: Patent Capital Group (lleol + 6 dinas arall) rhif cais, dyddiad, cyflymder: 16047503 ar 07/27/2018 (i'w gyhoeddi) 928 diwrnod)
Crynodeb: Mewn enghraifft o ymgorfforiad, darperir adain, a all gynnwys asennau allanol; blwch gêr proprotor, lle mae blwch gêr proprotor wedi'i leoli y tu mewn i'r asennau allanol; ategolion wedi'u gosod ar y blwch gêr proprotor; trawsnewid Actuator, lle mae'r actuator trawsnewid ynghlwm wrth yr adain ac wedi'i gysylltu'n fecanyddol â'r affeithiwr. Gall yr adain hefyd gynnwys ymosodwr gêr is, lle gellir gosod yr ymosodwr gêr is ar yr affeithiwr, a gellir lleoli'r ymosodwr gêr isaf rhwng blwch gêr y proprotor a'r actiwadydd trosi. Gall yr adain hefyd gynnwys stopiwr is wedi'i osod ar ochr uchaf yr asen allanol, lle pan fydd blwch gêr y proprotor yn y modd awyren, mae'r stopiwr isaf a'r stopiwr isaf yn gwrthdaro i gysylltu â'i gilydd.
[B64D] Offer wedi'i ymgynnull ar neu ar awyrennau; siwtiau hedfan; menyn cnau daear; trefnu neu osod unedau pŵer neu gerau gyriant ar awyrennau
Dyfeisiwr: Alton W. Mowdy (Fort Worth, Texas) Aseinai: MM Machinery Service Co., Ltd. (Fort Worth, Texas) Cwmni Cyfreithiol: Fitch, Even, Tabin Flannery LLP (5 nad ydynt yn swyddfa leol) Rhif cais. , Dyddiad, Cyflymder: 15465248 ar 03/21/2017 (1421 diwrnod o'r cais i'w ryddhau)
Crynodeb: Mae'r cynulliad gwialen nwy yn cynnwys: pibell wacáu sydd ag o leiaf un allwthiad yn ymestyn i gyfeiriad rheiddiol; derbynnydd sydd â thwll y mae'r bibell wacáu yn ymestyn drwyddo, ac mae ganddo strwythur wedi'i ffurfweddu i ryngweithio â'r o leiaf un cilfach paru o leiaf lle mae'r allwthiadau'n rhyngweithio; a sbring wedi'i ffurfweddu i dueddu o leiaf un ymwthiad tuag at y derbynnydd.
[B67C] Poteli, poteli, jariau, casgenni, casgenni neu gynwysyddion tebyg wedi'u llenwi â hylif neu led-hylif, neu sydd wedi'u cynnwys fel arall; twmffatiau
Dyfeiswyr: Adam Joseph Fruehling (Garland, Texas), Benjamin Stassen Cook (Los Gatos, California), James F. Hallas (Allen, Texas), Juan Alejandro Herbsommer (Texas State Allen), Randy Long (Richardson, Texas), Simon Joshua Jacobs (Lucas, Texas) Aseinai: Texas Instruments (Yr Almaen (Dallas, Texas) Cwmni Cyfreithiol: Dim rhif cais cyfreithiwr, dyddiad, cyflymder: 16813967 ar Fawrth 10, 2020 (angen 336 diwrnod o gyhoeddi)
Crynodeb: Mae offer electronig yn cynnwys swbstradau pecynnu, cydrannau cylched a gorchuddion. Mae'r cydrannau cylched wedi'u gosod ar y swbstrad pecyn. Mae'r cynulliad cylched yn cynnwys ceudod wedi'i selio gyntaf a ffurfiwyd yn swbstrad y ddyfais. Mae'r tai wedi'u gosod ar y swbstrad pecynnu i ffurfio ail geudod wedi'i selio o amgylch y cynulliad cylched.
[B81B] Dyfeisiau neu systemau microstrwythuredig, megis dyfeisiau microfecanyddol (elfen piezoelectrig, electrostrictive neu fagnetostrwythlon ei hun H01L 41/00) [7]
Dyfeisiwr: Christopher Freeborg (Frisco, Texas), Kyle Davis (Prairie, Texas) Aseinai: TAMKO Building Products LLC (Galena, Kentucky) Cwmni Cyfreithiol: Husch Blackwell LLP (9 swyddfa nad ydynt yn lleol) rhif cais:. , Dyddiad, Cyflymder: 15997524 ar 06/04/2018 (981 diwrnod o gais i'w ryddhau)
Haniaethol: Peiriant gwneud graean asffalt sy'n cynnwys marw llen ar gyfer gosod haen rhyddhau hylif ar swbstrad wedi'i orchuddio â asffalt. Mae'r dŵr yn yr haen rhyddhau hylif yn anweddu, gan adael haen rhyddhau gronynnau solet gwasgaredig. Mae'r mowld llen yn cynnwys rhan corff cyntaf ac ail ran corff, a threfnir gasged rhwng rhannau'r corff i ddiffinio sianel ddosbarthu. Gall ail ran y corff gynnwys manifold siambr a ddiffinnir ynddo i storio rhywfaint o asiant rhyddhau hylif. Mae'r sianel ddosbarthu yn cyfathrebu manifold y ceudod yn hylif â phorthladd rhyddhau'r mowld llen er mwyn gwasgaru rhywfaint o asiant rhyddhau hylif o'r manifold ceudod i'r tu allan i'r porthladd rhyddhau. Gellir ffurfweddu'r gasged i ddiffinio lled y sianel ddosbarthu i bennu cyfradd llif a phatrwm gollwng yr asiant rhyddhau hylif.
[B32B] Cynhyrchion haenog, hynny yw, cynhyrchion haenog gwastad neu heb fod yn fflat, fel diliau neu diliau
Dyfeiswyr: Michael Linley Fripp (Carrollton, Texas), Stephen Michael Greci (Little Elm, Texas), Zachary William Walton (Carrollton, TX) Aseinai: Halliburton Energy Services, Inc. (Houston, TX) Cwmni Cyfreithiol: Conley Rose, PC ( 3 swyddfa nad ydynt yn lleol) rhif cais, dyddiad, cyflymder: 16515752 ar 2019/7/18 (cais wedi'i ryddhau mewn 572 diwrnod)
Crynodeb: Dulliau a systemau sy'n defnyddio metelau adweithiol sy'n hydradu ym mhresenoldeb hylifau tyllu'r ffynnon. Gellir defnyddio metelau adweithiol mewn cyfansoddiadau sment, ar ffurf un neu fwy o fetelau adweithiol ar wyneb allanol y bibell maes olew, neu ar ffurf haen gyfansoddiad i gynnwys metelau gweithredol ar wyneb allanol y bibell maes olew.
[C09K] Deunyddiau heb eu darparu eto ar gyfer ceisiadau; cymhwyso deunyddiau heb eu darparu eto
Cydosod clicied drws ar gyfer cerbydau, gan gynnwys strwythur blocio lifer rhyddhau clicied Patent Rhif 10914101
Dyfeisiwr: Ishikawa Yoshiki (Ann Arbor, Michigan) Aseinai: Toyota Motor Engineering Manufacturing North America, Inc. (Plano, Texas) Cwmni Cyfreithiol: Dinsmore Shohl LLP (14 o swyddfeydd nad ydynt yn lleol) Rhif y cais, dyddiad, cyflymder: 15800552 ar Dachwedd 1, 2017 (1196 diwrnod ar ôl rhyddhau'r cais)
Haniaethol: Cerbyd yn cynnwys gwasanaeth drws gyda chydosod handlen drws a chynulliad clicied drws, y cynulliad clicied drws gan gynnwys dyfais clicied drws wedi'i chysylltu'n weithredol â chynulliad handlen y drws gan ddefnyddio lifer rhyddhau clicied, y lifer rhyddhau clicied ar hyd llwybr teithio Symudwch i osod y ddyfais clicied drws ynddo. Cyfluniad nad yw'n cloi. Mae'r strwythur blocio rhyddhau clicied wedi'i leoli yng ngofod mewnol y cynulliad drws. Mae'r strwythur blocio rhyddhau clicied yn cynnwys coes gyntaf wedi'i osod ar y rhan gefnogol. Mae'r rhan sy'n ymwthio allan wedi'i gysylltu â'r goes gyntaf. Mae'r rhan sy'n ymwthio allan yn ymestyn ar o leiaf ran o lwybr teithio'r lifer rhyddhau clicied. Mae'r ail goes yn ymestyn o'r rhan bargodol tuag at y rhan gynhaliol i ben rhydd sydd wedi'i wahanu oddi wrth y strwythur mowntio er mwyn darparu bwlch ar hyd llwybr symud y lifer rhyddhau clicied.
Dyfeisiwr: Christopher Allen Grace (Fort Worth, TX), Christopher J. Chau (Plano, TX) Aseinai: Halliburton Energy Services, Inc. (Houston, TX) Swyddfa'r Twrnai: Chamberlain Hrdlicka (5 swyddfa cwmni lleol) Rhif y cais, dyddiad, cyflymder: 16065589 ar 12 Rhagfyr, 2016 (mae angen rhyddhau cais 1824 diwrnod)
Crynodeb: Mae'r offeryn rheoli RCD a yrrir yn fecanyddol o bell yn addasu o leiaf un gydran o'r cynulliad clicied RCD rhwng o leiaf dau leoliad. Mae'r offeryn rheoli RCD mecanyddol yn cynnwys silindr cylchdro a silindr canllaw wedi'i ffurfweddu i gylchdroi mewn cyfeiriad cylchdro i addasu'r cynulliad clicied RCD o osodiad cyntaf i ail osodiad, ac o'r ail osodiad i'r gosodiad cyntaf yn seiliedig ar symudiad a sefydlwyd. Ongl cylchdroi'r silindr gyrru i'r cyfeiriad a ddewiswyd. Datgelir dyfeisiau, dulliau a systemau ychwanegol.
[E21B] Cloddio neu ddrilio creigiau (cloddio, chwarel E21C; siafft gweithgynhyrchu, ffordd neu dwnnel E21D); cael olew, nwy, dŵr, deunyddiau hydawdd neu hydawdd neu gyfres o fwynau o'r ffynnon [5]
Dyfeisiwr: Daniel Anthony Salinas (Dallas, Texas) Aseinai: Halliburton Energy Services, Inc. (Houston, Texas) Cwmni Cyfreithiol: Parker Justiss, PC (2 swyddfa nad yw'n lleol) Rhif cais , Dyddiad, cyflymder: 15774452 ar Ragfyr 30, 2016 (1502 diwrnod o gais wedi'i ryddhau)
Crynodeb: Mae'r datgeliad presennol yn darparu gwasanaeth ehangu ar gyfer gosod awyrendy leinin i ymgysylltu paru ag arwyneb mewnol casin twrw ffynnon. Mewn un ymgorfforiad, mae'r cynulliad ehangu yn cynnwys mandrel conigol a chynulliad conigol ehangu sydd wedi'i leoli ger y mandrel conigol. Mae'r cynulliad côn ehangu yn cynnwys lluosogrwydd o rannau wedi'u lleoli wrth ymyl ei gilydd, gellir gwahanu pob rhan gan ofod S, a gyda'i gilydd ffurfio cylch blwydd. Mae lluosogrwydd y rhannau wedi'u ffurfweddu i ehangu'n rheiddiol allan i ddiamedr allanol cyntaf pan fyddant yn destun grym twll i lawr llinellol wrth symud twll i lawr, ac i grebachu'n rheiddiol yn fewnol i ail ddiamedr allanol llai wrth symud clustogwaith.
[E21B] Cloddio neu ddrilio creigiau (cloddio, chwarel E21C; siafft gweithgynhyrchu, ffordd neu dwnnel E21D); cael olew, nwy, dŵr, deunyddiau hydawdd neu hydawdd neu gyfres o fwynau o'r ffynnon [5]
Dyfeisiwr: Christopher M. Heering (Fort Worth, Texas) Aseinai: Halliburton Energy Services, Inc. (Houston, Texas) Cwmni Cyfreithiol: Dim Cwnsler Rhif Cais, Dyddiad, Cyflymder: 15546876 (03/26/) 2015 (cais 2147 diwrnod oed rhyddhau)
Haniaethol: Gall y dull un-pas a'r system ar gyfer casio yrru hylifau cwblhau trymach o'r ardal drydylliad trwy hylifau triniaeth ysgafnach sy'n gydnaws â ffurfio heb fod angen ymestyn y twll llygod mawr nac ailosod y llinyn gweithio. Mae'r system dyllu yn cynnwys uniadau pontydd uwchben ac o dan y gwn tyllog. Mae cymal y bont yn osgoi'r hylif trin o amgylch y gwn chwistrellu trwy un neu fwy o gwnedau wedi'u trefnu ar hyd y tu allan i'r gwn, ac yn mynd i mewn i'r porthladd rhyddhau hylif sydd wedi'i leoli'n union islaw cymal y bont isaf. Gellir dylunio'r system yn hydrodynamig i gynnal nodweddion dadleoli hylif effeithiol y swyddfa gollwng. Ni ddylai lleoliad y cwndid allanol ymyrryd â'r gweithrediad trydylliad a gall gynnwys pibellau cysylltiedig cyfochrog. Gellir gostwng y system trydylliad i'r safle trydylliad yn y ffynnon, a chyn y llawdriniaeth trydylliad, defnyddir yr hylif trin sy'n cael ei bwmpio trwy'r adeilad rhyddhau i ddadleoli'r hylif cwblhau.
[E21B] Cloddio neu ddrilio creigiau (cloddio, chwarel E21C; siafft gweithgynhyrchu, ffordd neu dwnnel E21D); cael olew, nwy, dŵr, deunyddiau hydawdd neu hydawdd neu gyfres o fwynau o'r ffynnon [5]
Dyfeiswyr: James A. Rollins (Lipan, Texas), John T. Hardesty (Fort Worth, Texas), Kevin Wutherich (Millsap, Texas), Ross Harvey (Millsap, Texas), Wenyang Yang (Texas) Kennerdale (s): GEODYNAMICS, Inc. (TX, Millsap) Cwmni Cyfreithiol: Adeiladwyr Portffolio Patent PLLC (4 swyddfa nad ydynt yn lleol) rhif cais, dyddiad, cyflymder: 16/02/082 (02/02/2018) (dyddiad cyhoeddi yw 1103 diwrnod)
Haniaethol: System gwn tyllog gydag o leiaf un gwn chwistrellu. Mae gan bob gwn tyllog dâl wedi'i drefnu yn y gwn, ac mae'r tâl ar ongl benodol ag echel hydredol y gwn, er mwyn gwireddu dosbarthiad y propant a bennwyd ymlaen llaw a gludir mewn clystyrau i mewn i'r rhan o gasin y ffynnon. Mae'n bosibl y bydd twneli tyllog hefyd yn cynnwys pyliau ar bob ochr i'r casin, ac yn y lle cyntaf byddant yn helpu i gludo ysgogwyr yn ystod y driniaeth torri asgwrn. Mae dull o addasu clystyrau yn seiliedig ar adborth gan glwstwr arall i gyflawni triniaeth hollti a ddymunir yn cynnwys dewis diamedrau tyllau, onglau twll a ddefnyddir i greu agoriadau onglog, cyfernodau draenio, ac effeithlonrwydd propant. At hynny, mae dull i wella effeithlonrwydd tâl trydyllog.
[E21B] Cloddio neu ddrilio creigiau (cloddio, chwarel E21C; siafft gweithgynhyrchu, ffordd neu dwnnel E21D); cael olew, nwy, dŵr, deunyddiau hydawdd neu hydawdd neu gyfres o fwynau o'r ffynnon [5]
Dyfeiswyr: Brad Perry (St. Thomas, TX), Jason Ansley (Bedford, TX), Roger Archibald (Hurst, TX) Aseinai: GEODYNAMICS, Inc. (Millsap, Texas) Cwmni Cyfreithiol: Adeiladwyr Portffolio Patent PLLC (4 heb fod yn lleol swyddfeydd) rhif cais, dyddiad, cyflymder: 16014125 ar Mehefin 21, 2018 (964 diwrnod o gyflwyno'r cais)
Crynodeb: Dull o lansio taniwr mewn cadwyn cydosod switsh, gan gynnwys y camau canlynol: gostwng y gadwyn cydosod switsh i mewn i'r ffynnon; pweru ar y cydosodiadau switsh yn y gadwyn cydosod switsh; ac yn mynd i mewn i set o wladwriaethau'n annibynnol Yn y cyflwr hwn, mae'r cynulliad switsh yn rhyngweithio â'r cynulliad switsh i lawr yr afon ac yn pennu cyflwr un neu fwy o elfennau sy'n gysylltiedig â'r cynulliad switsh; y cam o drosglwyddo'r taniwr sydd wedi'i gysylltu'n drydanol â'r cynulliad switsh neu fynd i mewn i'r cyflwr cysgu.
[E21B] Cloddio neu ddrilio creigiau (cloddio, chwarel E21C; siafft gweithgynhyrchu, ffordd neu dwnnel E21D); cael olew, nwy, dŵr, deunyddiau hydawdd neu hydawdd neu gyfres o fwynau o'r ffynnon [5]
Dyfeiswyr: John T. Hardesty (Fort Worth, TX), Johnny Joslin (Godley, TX), Robert E. Davis (Joshua, TX), Shelby L. Sullivan (Gogledd Dakota) Aseinai: GEODYNAMICS, INC. (Millsap, Texas) Cwmni Cyfreithiol: Adeiladwr Portffolio Patent PLLC (4 swyddfa nad yw'n lleol) rhif cais, dyddiad, cyflymder: 16214301 ar Ragfyr 10, 2018 (dyddiad cyhoeddi yw 792 diwrnod)
Crynodeb: Mae system danio ar gyfer tanio deunyddiau ynni uchel. Mae'r system igniter yn cynnwys llety gyda thwll; a thai a thwll. Mae'r igniter lleoli yn y turio; cysylltu'n uniongyrchol â gwifren ddaear y igniter; mae'r wifren signal wedi'i chysylltu'n uniongyrchol â'r igniter. Mae'r wifren ddaear a'r wifren signal yn ffurfio cylched gyda'r taniwr i danio deunyddiau ynni uchel.
[E21B] Cloddio neu ddrilio creigiau (cloddio, chwarel E21C; siafft gweithgynhyrchu, ffordd neu dwnnel E21D); cael olew, nwy, dŵr, deunyddiau hydawdd neu hydawdd neu gyfres o fwynau o'r ffynnon [5]
Dyfeisiwr: Kuo-Chiang Chen (Kennedale, Texas) Aseinai: Upwing Energy, LLC (Cerritos, California) Cwmni Cyfreithiol: Fish Richardson PC (lleol + 13 o ddinasoedd metropolitan eraill) rhif cais, Dyddiad, cyflymder: 16235356 ar 12/28/2018 (cais 774 diwrnod wedi'i ryddhau)
Crynodeb: Mae cynulliad stator ar gyfer offeryn drilio twll i lawr yn cynnwys: stator yn cynnwys ceudod mewnol; stator trydan; sianel llif yn y tai stator; a chyfnewidydd gwres. Trefnir y stator trydan yn y tai stator ac mae mewn cysylltiad â'r cyfnewidydd gwres, ac mae'r stator trydan yn gyrru'r rotor. Mae'r sianel llif yn y tai stator yn cynnwys mewnfa ac allfa, ac mae'r cyfnewidydd gwres yn cynnwys rhan cyfnewidydd gwres cyntaf mewn cysylltiad â'r stator trydan yn y siambr fewnol ac ail ran cyfnewidydd gwres o leiaf wedi'i drefnu'n rhannol yn y sianel llif. Mae'r sianel llif yn caniatáu i'r hylif oerydd lifo ar hyd yr ail ran cyfnewidydd gwres i drosglwyddo'r gwres sy'n mynd trwy'r cyfnewidydd gwres o'r stator trydan i'r hylif oerydd.
[E21B] Cloddio neu ddrilio creigiau (cloddio, chwarel E21C; siafft gweithgynhyrchu, ffordd neu dwnnel E21D); cael olew, nwy, dŵr, deunyddiau hydawdd neu hydawdd neu gyfres o fwynau o'r ffynnon [5]
Dyfeiswyr: David B. Peck (Plano, Texas), Jake B. Range (McKinney, Texas), Kirk A. Miller (Dallas, Texas) Aseinai: Cwmni Raytheon (Waltham, Massachusetts) Cwmni cyfreithiol: Na. Rhif cais cyfreithiwr, dyddiad , cyflymder: 15666285 ar Ionawr 1, 2017 (mae angen rhyddhau 1288 diwrnod o gais)
Crynodeb: Gellir defnyddio'r gydran cyfieithu cymharol gyda'r mecanwaith gyrru. Efallai y bydd gan y cynulliad cyfieithu cymharol aelod cymorth sefydlog, aelod cyfieithadwy a gefnogir gan yr aelod cymorth sefydlog, a rhan canllaw cyfieithu i hyrwyddo cyfieithiad yr aelod cyfieithadwy o'i gymharu â'r aelod cymorth sefydlog. Gall fod gan y canllaw cyfieithu aelod cyfieithu sefydlog ac aelod cyfieithu symudol. Gellir ffurfweddu'r aelod cyfieithu symudol i gynnal y rhaglwyth ar yr aelodau cyfieithu sefydlog a symudol ac i ddarparu ar gyfer ehangu thermol. Gellir ffurfweddu'r mecanwaith gyrru i achosi cyfieithiad o'r aelod cyfieithadwy o'i gymharu â'r aelod cymorth sefydlog.
Dyfeiswyr: James D. Cunningham (Clarkston, Michigan), Paxton S. Williams (Milan, Michigan), Scott L. Frederick (Brighton, Michigan) Aseinai: Toyota Motor Engineering & Manufacturing North America Co., Ltd. (Play Connaught, Texas) ) Cwmni Cyfreithiol: Dinsmore Shohl LLP (14 o swyddfeydd nad ydynt yn rhai lleol) rhif cais, dyddiad, cyflymder: 16788820 ar Chwefror 5, 2020 (mae angen 370 diwrnod ar gyfer cyhoeddi)
Crynodeb: Mae'r ymgorfforiad a ddatgelir yma yn ymwneud â bwlyn sifft datodadwy amlswyddogaethol wedi'i drefnu ar lifer sifft mewn cerbyd. Mewn un agwedd, mae bwlyn sifft symudol yn cynnwys prif gorff gan gynnwys twll canolog ar gyfer derbyn lifer sifft a lluosogrwydd o adrannau wedi'u trefnu o amgylch y twll canolog. Mae un adran o luosogrwydd adrannau wedi'i ffurfweddu i gynnwys un neu fwy o ategolion, lle mae un neu fwy o ategolion yn cael eu dewis o blith: un neu fwy o bennau sgriwdreifer, un neu fwy o ddeuodau allyrru golau (LEDs) a batris.
Dyfeiswyr: Alan E. Bennett (Denton, Texas), Anna Vishinsky (Little Elm, Texas), Farhad Abrishamkar (Dallas, Texas) Aseinai: Lennox Industries Inc. (Yr Almaen Richardson, Texas) Cwmni Cyfreithiol: Baker Botts LLP (lleol + 8) ardaloedd metropolitan eraill) rhif cais, dyddiad, cyflymder: 15785017 ar Hydref 16, 2017 (1212 diwrnod o gais wedi'i ryddhau)
Crynodeb: Yn ôl rhai ymgorfforiadau, mae'r thermostat wedi'i ffurfweddu i'w ddefnyddio mewn system rheoli hinsawdd. Mae'r thermostat yn weithredol i ddefnyddio cyfathrebu dwy ffordd i gyfathrebu gwybodaeth weithredu rhwng y thermostat ac o leiaf un uned to (RTU) o fewn y system rheoli hinsawdd. Er enghraifft, mae cyfathrebu dwy ffordd yn cynnwys anfon gwybodaeth am y llawdriniaeth gyntaf i'r RTU a derbyn gwybodaeth am yr ail weithrediad gan yr RTU. Mae'r wybodaeth weithredu yn cynnwys un neu fwy o orchmynion rheoli hinsawdd, pwyntiau gosod, gwybodaeth ffurfweddu, diagnosteg a/neu ddata synhwyrydd. Mae'r thermostat hefyd yn weithredol i weithredu'r system rheoli hinsawdd yn seiliedig ar y wybodaeth weithredu a drosglwyddir rhwng y thermostat a'r RTU.
[F24F] Aerdymheru; lleithiad aer; awyru; hidlo â hidlwyr aer (tynnwch lwch neu nwy ffliw yn yr ardal gynhyrchu) B08B 15/00; dwythell fertigol a ddefnyddir i wacáu nwy gwacáu o'r adeilad E04F 17/02; a ddefnyddir ar gyfer simneiau Neu ben y siafft awyru; terfynell F23L 17/02 ar gyfer dwythell ffliw)
Dyfeisiwr: Lonnie Burrow (Carrollton, Texas) Aseinai: TRUE VELOCITY IP HOLDINGS, LLC (Garland, Texas) Cwmni Cyfreithiol: Singleton Law, PLLC (lleoliad heb ei ganfod) Rhif y cais, dyddiad, cyflymder: 16134040 ar 18 Medi, 2018 (875 diwrnod hen gais wedi'i ryddhau)
Crynodeb: Mae'r ddyfais bresennol yn darparu bwledi issonig gan gynnwys cragen bolymer. Gosod y mewnosodiad gyriant yn y siambr yrru i leihau cyfaint mewnol y siambr yrru; y gyrrydd wedi ei osod yn y siambr yrru; y mewnosodiad preimio wedi'i osod ar waelod corff y cerbyd a chyfathrebu â'r siambr yrru; wedi'i osod yn y paent preimio Mae'r paent preimio a'r asiant tryledu yn y plwg-mewn mewn cyfathrebu fflamadwy â'r gyrrwr; mae'r taflunydd wedi'i osod yn ffrithiannol yn y geg, ac mae mewn cyfathrebu fflamadwy â'r gyrrwr.
[F42B] Cyhuddiadau ffrwydrol, er enghraifft am ffrwydro; tan Gwyllt; bwledi (ffrwydrol C06B; fuze F42C; ffrwydrol F42D) [5]
Dyfeisiwr: James R. Wood (Grey Penn, Texas), Sunil C. Patel (Prairie, Texas) Aseinai: Lockheed Martin (Bethesda, Maryland) Swyddfa'r Twrnai: Beusse Sanks, PLLC (1 swyddfa nad yw'n lleol) rhif cais, dyddiad, cyflymder: 16135618 ar Fedi 19, 2018 (874 diwrnod i'r cais gael ei ryddhau)
Crynodeb: Mae'r ymgorfforiad a ddatgelwyd yn cynnwys system sy'n cynnwys adran taflegryn sydd â chorff gwag y mae ei wyneb allanol yn gyson ag arwyneb allanol cyfran o'r corff taflegryn. Mae'r adran taflegryn yn cynnwys ceudodau modur gwthio slot lluosog (STM) wedi'u trefnu mewn corff gwag. Mae pob ceudod STM wedi'i ymestyn i'r cyfeiriad cyntaf o'i gymharu ag echel hydredol corff y taflegryn. Mae pob ceudod STM yn cynnwys agoriad siamffrog ar un pen i'r ceudod STM sy'n cyd-fynd ag arwyneb allanol y corff gwag. Mae'r agoriad siamffrog wedi'i ffurfweddu i ollwng llif aer i gyfeiriad llif aer yn berpendicwlar i o leiaf un o'r echelin hydredol a'i wrthbwyso o'r echelin hydredol. Mae ymgorfforiadau hefyd yn cynnwys taflegrau a dulliau ar gyfer cynhyrchu grym llywio.
[F42B] Cyhuddiadau ffrwydrol, er enghraifft am ffrwydro; tan Gwyllt; bwledi (ffrwydrol C06B; fuze F42C; ffrwydrol F42D) [5]
Dyfeisiwr: Joshua D. Payne (Ann Arbor, Michigan), Steven Baker (Northville, Michigan) Aseinai: Toyota Motor Engineering Manufacturing North America, Inc. (Plano, Texas): Dinsmore Shohl LLP (14 o swyddfeydd nad ydynt yn lleol) rhif cais, dyddiad, cyflymder: 16126672 ar Hydref 10, 2018 (883 diwrnod o gais i'w gyhoeddi)
Crynodeb: Traciwch y llwybr teithio o'i gymharu â'r lleoliad presennol tan ddiwedd y llwybr teithio; penderfynu a yw o leiaf un llwybr ymgeisydd ar y rhestr llwybrau yn cynnwys llwybr gyrru; ac mewn ymateb i benderfynu o'r rhestr llwybrau nad yw o leiaf un llwybr ymgeisydd yn cynnwys llwybr gyrru, cynhyrchwch Ymholiad i nodi'r llwybr gyrru fel llwybr cyson.
[G01C] Mesur pellter, lefel neu beryn; llywio mesur; ffotometreg gyrosgop neu ffotometreg fideo (mesur lefel hylif G01F; llywio radio, gan ddefnyddio effaith lluosogi tonnau radio (fel effaith Doppler, amser lluosogi), gan ddefnyddio tonnau eraill Mae trefniant tebyg G01S yn pennu'r pellter neu'r cyflymder)
Dyfeisiwr: Bentley N. Scott (Garland, Texas) Aseinai: Phase Dynamics, Inc. (Richardson, Texas) Cwmni Cyfreithiol: Dim Cwnsler Rhif Cais, Dyddiad, Cyflymder: 16358122 ar 03 / 19/2019 (ceisiadau wedi'u rhyddhau mewn 693 diwrnod)
Crynodeb: System sy'n cynnwys dadansoddwr rhannau fesul miliwn (PPM) wedi'i ffurfweddu i ddadansoddi hylif amlwedd sy'n cynnwys dŵr. Mae'r dadansoddwr yn cynnwys rhwyd ​​sy'n cynnwys deunydd arsugniad cyntaf sy'n arsugno sylwedd penodol o hylif amlgyfnod. Mae'r system yn cynnwys mesurydd màs wedi'i ffurfweddu i fesur màs yr hylif amlgyfnod sy'n mynd trwy'r dadansoddwr PPM; a sychwr ridyll moleciwlaidd gan gynnwys ail ddeunydd adsorbent wedi'i ffurfweddu i fod yn ddŵr Adsorb yn yr hylif cam; falfiau lluosog, sydd wedi'u ffurfweddu i gysylltu'r mesurydd màs a'r sychwr rhidyll moleciwlaidd â'r dadansoddwr PPM. Yn ystod gweithrediad arferol, mae'r falf yn cyfeirio'r hylif amlgyfnod trwy'r dadansoddwr PPM. Yn ystod y gweithrediad dilysu, bydd y falf yn trosglwyddo'r hylif amlgyfnod trwy'r sychwr gogor moleciwlaidd cyn mynd i mewn i'r dadansoddwr PPM.
[G01N] Cynnal ymchwil neu ddadansoddiad o ddeunyddiau trwy bennu eu priodweddau cemegol neu ffisegol (ac eithrio ar gyfer profion imiwn sy'n cynnwys ensymau neu ficro-organebau C12M, C12Q prosesau mesur neu brofi)
Dyfeiswyr: Anuraag Mohan (Fremont, California), Ubol Udompanyavit (Dallas, Texas), William David French (San Jose, California) Aseinai: TEXAS INSTRUMENTS INCORPORATED (Dallas, Texas): Na, nid oes cyfreithiwr yn berthnasol. , Dyddiad, Cyflymder: 150/05/507 ar 02/05/2016 (Bydd y cais am 1831 diwrnod yn cael ei ryddhau)
Crynodeb: Mae gan ddyfais ymsefydlu electromagnetig swbstrad pecynnu, marw cyntaf wedi'i osod ar y swbstrad pecynnu, ac ail farw wedi'i osod ar y swbstrad pecynnu. Mae'r marw cyntaf yn cynnwys cylched integredig cyntaf a chraidd magnetig cyntaf a ffurfiwyd dros y gylched integredig gyntaf. Mae gan y craidd magnetig cyntaf echel synhwyro gyntaf yn gyfochrog ag awyren y swbstrad pecyn. Mae'r ail farw yn cynnwys ail gylched integredig ac ail graidd magnetig a ffurfiwyd dros yr ail gylched integredig. Mae gan yr ail graidd magnetig ail echel synhwyro orthogonal i awyren y swbstrad pecyn.
[G01R] Mesur newidynnau trydanol; mesur newidynnau magnetig (sy'n nodi'r addasiad cywir o'r gylched soniarus H03J 3/12)
Dyfeisiwr: Alexander Lyubarsky (Dallas, Texas), John Marshall Ferri (Allen, Texas) Aseinai: OFFERYNNAU TEXAS WEDI'U CYNNWYS (Dallas, Texas) Cwmni Cyfreithiol: Dim Cwnsler Rhif Cais , Dyddiad, cyflymder: 16450156 ar 06/24/2019 (cais rhyddhau am 596 diwrnod)
Crynodeb: Mae'r enghraifft a ddisgrifir yn cynnwys system daflunio gyda system optegol taflunio y mae ei hechelin system optegol rhagamcanu wedi'i gogwyddo o echel berpendicwlar i darged y ddelwedd, ac mae'r system optegol taflunio wedi'i ffurfweddu i daflunio delwedd. Mae gan y system taflunio hefyd ffynhonnell ddelwedd wedi'i ffurfweddu i ddarparu delwedd, mae gan y ffynhonnell ddelwedd wrthbwyso o'r echelin optegol rhagamcanu, ac mae gan awyren y ffynhonnell ddelwedd ongl berpendicwlar i'r echelin optegol rhagamcanu, fel bod yr amcanestyniad yn rhydd o y system optegol taflunio Mae delwedd y ffynhonnell delwedd a ragamcanir yn canolbwyntio ar y targed delwedd ar hyd ystod y ddelwedd ragamcanol.
[G03B] Dyfeisiau neu ddyfeisiau a ddefnyddir i dynnu lluniau neu daflunio neu edrych arnynt; dyfeisiau neu ddyfeisiau sy'n defnyddio technoleg analog gan ddefnyddio tonnau tebyg i donnau optegol; ategolion (cydrannau optegol dyfeisiau o'r fath G02B; deunyddiau ffotosensitif neu ddulliau a ddefnyddir at ddibenion ffotograffig G03C; Offer ar gyfer prosesu deunyddiau ffotograffig agored G03D) [4]
Rheoleiddiwr foltedd gollwng isel (LDO) gyda dyfais ymwrthedd sy'n dibynnu ar amlder ar gyfer iawndal olrhain polyn Patent Rhif 10915121
Dyfeisiwr: Raveesh Magod Ramakrishna (Richardson, Texas), Sanjeev Manandhar (Tuscon, Texas) Aseinai: OFFERYNNAU TEXAS WEDI'U CYNNWYS (Dallas, Texas) Cwmni Cyfreithiol: Dim Cwnsler Rhif Cais, Dyddiad, Cyflymder: 16184414 ar 11/08/82018 diwrnod cais wedi'i ryddhau)
Crynodeb: System sy'n cynnwys cylched rheolydd gollwng isel (LDO). Mae'r gylched LDO yn cynnwys mwyhadur gwall gyda nod mewnbwn, nod cyfeirio a nod allbwn. Mae'r gylched LDO hefyd yn cynnwys transistor pas sydd â therfynell reoli, terfynell gyfredol gyntaf, ac ail derfynell gyfredol. Mae'r derfynell reoli wedi'i chysylltu â nod allbwn y mwyhadur gwall, mae'r derfynell gyfredol gyntaf wedi'i chysylltu â'r nod ffynhonnell foltedd, ac mae'r ail derfynell gyfredol wedi'i chysylltu â nod allbwn LDO. Mae nod allbwn LDO wedi'i gyplysu â nod mewnbwn y mwyhadur gwall. Mae'r gylched LDO hefyd yn cynnwys rhwydwaith cynhwysydd switsh wedi'i gysylltu rhwng y mwyhadur gwall a'r transistor pas. Mae'r rhwydwaith cynhwysydd switsh yn cynnwys pâr o switshis ac osgiliadur rheoledig cerrynt wedi'u cysylltu â therfynell reoli'r switsh.
[G05F] System ar gyfer addasu newidynnau trydanol neu magnetig (addasu amseriad neu amlder ailadrodd corbys mewn radar neu system llywio radio G01S; addasu cerrynt neu foltedd, yn arbennig o addas ar gyfer clociau ac oriorau electronig G04G 19/02; system dolen gaeedig ar gyfer trydanol yn golygu Addasu'r newidyn di-drydan G05D; batri H02J 7/00; addasu allbwn trawsnewidydd statig (er enghraifft, newid rheolydd H02M); mwyhadur H03G Uchafswm allbwn, osgled neu led band; rheoli'r ffynhonnell golau trydan H05B 37/02, H05B 39/04, H05B 41/36; X Rheolaeth drydanol o offer pelydr-X H05G 1/30) [5]
Iawndal tracio polyn nad yw'n drech ar gyfer generadur cyfeirio llwyth deinamig mawr a llwyth capacitive Rhif Patent 10915133
Dyfeiswyr: Albert I-Ming Chang (Sunnyvale, California), Naresh Battula (Santa Clara, California), Zhang Xiaofeng (San Jose, California) Aseinai: SanDisk Technologies LLC (Addison, Texas) Swyddfa: Vierra Magen Marcus LLP (2 nad ydynt yn swyddfeydd lleol) Rhif cais, dyddiad, cyflymder: 16800260 ar Chwefror 25, 2020 (mae angen cyflwyno cais 350 diwrnod)
Crynodeb: Cynigir cylched rheoleiddiwr foltedd, a all gynhyrchu lefel allbwn sefydlog a reoleiddir yn dda i gyflenwi pŵer i lwythi â cheryntau deinamig mawr a newidiadau cynhwysedd. Ychwanegir cylched iawndal i gyflwyno pwynt sero sy'n olrhain polyn an-dominyddol y rheolydd. Mae'r gylched iawndal yn cynnwys transistor iawndal y mae ei giât wedi'i chysylltu i dderbyn yr un foltedd â thrawsydd trosglwyddo gyriant llwyth y rheolydd, a chyfuniad cyfres o gynhwysydd a gwrthydd olrhain, sydd wedi'u cysylltu mewn cyfres rhwng y giât a'r giât o y transistor iawndal . Mae lefel pŵer a gwerth y gwrthydd olrhain yn dibynnu ar y cerrynt a gyflenwir i'r llwyth. Gellir gweithredu'r gwrthydd olrhain fel NMOS sy'n gysylltiedig â deuod, lle mae'r transistor iawndal wedi'i gysylltu â lefel pŵer isel, neu PMOS sy'n gysylltiedig â deuod, y mae ei gerrynt yn olrhain cerrynt y transistor iawndal trwy ddrych cerrynt.
[G05F] System ar gyfer addasu newidynnau trydanol neu magnetig (addasu amseriad neu amlder ailadrodd corbys mewn radar neu system llywio radio G01S; addasu cerrynt neu foltedd, yn arbennig o addas ar gyfer clociau ac oriorau electronig G04G 19/02; system dolen gaeedig ar gyfer trydanol yn golygu Addasu'r newidyn di-drydan G05D; batri H02J 7/00; addasu allbwn trawsnewidydd statig (er enghraifft, newid rheolydd H02M); mwyhadur H03G Uchafswm allbwn, osgled neu led band; rheoli'r ffynhonnell golau trydan H05B 37/02, H05B 39/04, H05B 41/36; X Rheolaeth drydanol o offer pelydr-X H05G 1/30) [5]
Dyfeisiwr: Tony M. Brewer (Plano, Texas) Aseinai: Micron Technology, Inc. (Boise, Idaho) Cwmni Cyfreithiol: Gamburd Law Group LLC (1 swyddfa nad yw'n lleol) Rhif cais, dyddiad, cyflymder: 16259879 ar 01/28/ 2019 (743 diwrnod o ryddhau’r cais)
Crynodeb: Datgelir cylched rheolydd cof, y gellir ei gysylltu â chylched cof cyntaf fel DRAM, ac mae'n cynnwys: cylched rheoli cof cyntaf ar gyfer darllen neu ysgrifennu i'r gylched cof cyntaf; a chylched rheoli cof cyntaf. Yr ail gylched storio, fel SRAM; yr ail gylched rheoli storio, pan fydd y data y gofynnwyd amdano yn cael ei storio yn yr ail gylched storio, mewn ymateb i'r cais darllen, darllenwch o'r ail gylched storio; fel arall, anfonwch y cais darllen Mae'n cael ei drosglwyddo i'r cylched rheoli storio cyntaf; cylched gweithredu atomig a bennwyd ymlaen llaw; cylched gweithrediad atomig rhaglenadwy, wedi'i haddasu i berfformio o leiaf un gweithrediad atomig rhaglenadwy. Mae'r ail gylched rheoli cof hefyd yn trosglwyddo'r cais gweithrediad atomig rhaglenadwy a dderbyniwyd i'r gylched gweithrediad atomig rhaglenadwy, ac yn gosod darn peryglus ar gyfer llinell storfa'r ail gylched cof.
[G06F] Prosesu data digidol trydanol (system gyfrifiadurol yn seiliedig ar fodel cyfrifo penodol G06N)
Dyfeisiwr: Steven Jeffrey Wallach (Dallas, Texas) Aseinai: Micron Technology, Inc. (Boise, Idaho) Cwmni Cyfreithiol: Greenberg Traurig (27 o swyddfeydd nad ydynt yn lleol) Rhif cais, dyddiad, Cyflymder: 16528479 Gorffennaf 31, 2019 (559 diwrnod o rhyddhau cais)
Crynodeb: Mae gan system cache storfa gyntaf, ail storfa, a chylched rhesymeg, mae'r gylched rhesymeg wedi'i chyplysu i reoli'r storfa gyntaf a'r ail storfa yn ôl math gweithredu'r prosesydd. Pan mai math gweithredu'r prosesydd yw'r math cyntaf sy'n nodi bod cyfarwyddiadau wedi'u gweithredu'n anfanwl a bod y storfa gyntaf wedi'i ffurfweddu i orchmynion gwasanaeth o'r bws gorchymyn i gael mynediad i'r system gof, mae'r gylched resymeg wedi'i ffurfweddu i gopïo cynnwys y storfa yn mae'n rhan o. Y storfa gyntaf i'r ail storfa. Gall y system celc gynnwys darnau data y gellir eu ffurfweddu. Gellir cyplysu'r gylched resymeg i reoli'r storfa yn seiliedig ar y darn hwn. Fel arall, gall y storfa gynnwys set cache. Gall y storfa hefyd gynnwys cofrestrau sy'n gysylltiedig â'r set cache. Gellir cyplysu'r gylched rhesymeg i reoli'r storfa cache yn ôl y gofrestr.
[G06F] Prosesu data digidol trydanol (system gyfrifiadurol yn seiliedig ar fodel cyfrifo penodol G06N)
Pensaernïaeth gyfrifiadurol ar gyfer efelychu craidd gwrthrych correlithm mewn system prosesu gwrthrychau correlithm Patent Rhif 10915337
Dyfeisiwr: Patrick N. Lawrence (Plano, Texas) Aseinai: Bank of America (Charlotte, NC) Cwmni Cyfreithiol: Dim Rhif Cais Cyfreithiwr, Dyddiad, Cyflymder: Hydref 18, 2017 15787464 y dydd (1210 diwrnod rhyddhau'r cais)
Crynodeb: Dyfais sy'n cynnwys injan nod wedi'i ffurfweddu i bennu pellter craidd craidd y gwrthrych Correlithm. Mae'r pellter craidd yn cynrychioli'r nifer uchaf o hopys i ffwrdd o'r gwrthrych Corelithm gwraidd. Mae'r injan nod hefyd wedi'i ffurfweddu i ddewis gwrthrychau cysylltiedig yn y gofod n-dimensiwn a gosod y gwrthrychau cysylltiedig a ddewiswyd fel gwrthrychau cysylltiedig â gwreiddiau. Mae'r injan nod hefyd wedi'i ffurfweddu i nodi gwrthrychau correlithm lluosog o fewn pellter craidd o'r gwrthrych correlithm gwraidd, a chysylltu'r gwrthrychau correlithm lluosog a nodwyd â'r gwrthrych correlithm gwraidd i gynhyrchu craidd gwrthrych correlithm.
[G06F] Prosesu data digidol trydanol (system gyfrifiadurol yn seiliedig ar fodel cyfrifo penodol G06N)
Y bensaernïaeth gyfrifiadurol a ddefnyddir i efelychu system prosesu gwrthrychau Correlithm, sy'n gosod rhan o'r gwrthrych Correlithm mewn rhwydwaith nod dosbarthedig, y rhif patent yw 10915338
Dyfeisiwr: Patrick N. Lawrence (Plano, Texas) Aseinai: Bank of America Corporation (Charlotte, NC) Cwmni Cyfreithiol: Dim Rhif Cais Cyfreithiwr, Dyddiad, Cyflymder: Mawrth 26, 2018 15935915 y dydd (1051 diwrnod rhyddhau o'r cais)
Crynodeb: Rhwydwaith nodau dosbarthedig ar gyfer efelychu system prosesu gwrthrychau Correlithm, gan gynnwys: nodau dosbarthedig, nod cyfrifiadura cyntaf ac ail nod cyfrifiadurol ynghyd â chyfathrebu a chyfrifiadura. Mae'r nod dosbarthu wedi'i ffurfweddu i rannu pob ffynhonnell gwrthrych Correlithm o'r tabl mapio gwrthrych Correlithm yn rhan gyntaf o leiaf ac yn ail ran, mae'r rhan gyntaf yn cynnwys is-set gyntaf o werthoedd deuaidd yn y gwrthrych ffynhonnell Correlithm, a'r ail ran yn cynnwys y deuaidd Mae'r ail is-set o werthoedd yn y ffynhonnell honno gwrthrych correlithm. Mae'r nod cyfrifiadurol cyntaf yn storio rhan gyntaf pob gwrthrych Correlithm ffynhonnell. Mae'r ail nod cyfrifiadurol yn storio ail ran pob gwrthrych Correlithm ffynhonnell.
[G06F] Prosesu data digidol trydanol (system gyfrifiadurol yn seiliedig ar fodel cyfrifo penodol G06N)
Y bensaernïaeth gyfrifiadurol a ddefnyddir i efelychu system prosesu gwrthrychau Correlithm, sy'n gosod rhan o'r tabl mapio mewn rhwydwaith nod dosbarthedig, a'r rhif patent yw 10915339
Dyfeisiwr: Patrick N. Lawrence (Plano, Texas) Aseinai: Bank of America (Charlotte, NC) Cwmni Cyfreithiol: Dim Rhif Cais Cyfreithiwr, Dyddiad, Cyflymder: 15936002 ar 03/26/2018 (Apiau gyda 1051 o ddiwrnodau rhyddhau)
Crynodeb: Rhwydwaith nodau dosbarthedig ar gyfer efelychu system prosesu gwrthrychau Correlithm, gan gynnwys: nodau dosbarthedig, nod cyfrifiadura cyntaf ac ail nod cyfrifiadurol ynghyd â chyfathrebu a chyfrifiadura. Mae'r nod dosbarthu wedi'i ffurfweddu i rannu'r tabl mapio gwrthrych cysylltiedig yn rhan gyntaf ac yn ail ran o leiaf. Mae rhan gyntaf y tabl mapio yn cynnwys is-set gyntaf o wrthrychau correlithm ffynhonnell a'u gwrthrychau targed cyfatebol. Mae ail ran y tabl mapio yn cynnwys ail is-set o wrthrychau correlithm ffynhonnell a'u gwrthrychau targed cyfatebol. Mae'r nodau cyfrifiadurol cyntaf a'r ail yn storio rhannau cyntaf ac ail y tabl mapio gwrthrychau cyfatebol.
[G06F] Prosesu data digidol trydanol (system gyfrifiadurol yn seiliedig ar fodel cyfrifo penodol G06N)
Pensaernïaeth gyfrifiadurol y system prosesu gwrthrychau Correlithm a ddefnyddir i efelychu gwrthrychau Correlithm lluosog a osodwyd mewn rhwydwaith nod dosbarthedig Patent Rhif 10915340
Dyfeisiwr: Patrick N. Lawrence (Plano, Texas) Aseinai: Bank of America Corporation (Charlotte, NC) Cwmni Cyfreithiol: Dim Rhif Cais Cyfreithiwr, Dyddiad, Cyflymder: Mawrth 26, 2018 15936105 y dydd (1051 diwrnod rhyddhau o'r cais)
Crynodeb: Rhwydwaith nodau dosbarthedig ar gyfer efelychu system prosesu gwrthrychau Correlithm, gan gynnwys nodau dosbarthedig, nod cyfrifiadura cyntaf ac ail nod cyfrifiadurol. Mae'r nod dosbarthu yn storio tabl mapio gwrthrychau cysylltiedig, mae'r tabl mapio gwrthrychau yn cynnwys lluosogrwydd gwrthrychau cysylltiedig â ffynhonnell gyntaf, lluosogrwydd gwrthrychau cysylltiedig â'r ail ffynhonnell, a lluosogrwydd gwrthrychau cysylltiedig â tharged sy'n cyfateb i'r gwrthrychau cysylltiedig â ffynhonnell gyntaf a'r ail ffynhonnell sy'n gysylltiedig gwrthrychau, yn y drefn honno. Mae pob gwrthrych ffynhonnell correlithm yn cynnwys gair digidol deuaidd n-did, ac mae pob gwrthrych correlithm targed yn cynnwys gair digidol deuaidd n-did. Mae'r nod cyfrifiadura cyntaf yn storio lluosogrwydd o wrthrychau sy'n gysylltiedig â'r ffynhonnell gyntaf. Mae'r ail nod cyfrifiadurol yn storio lluosogrwydd o wrthrychau sy'n gysylltiedig â'r ail ffynhonnell.
[G06F] Prosesu data digidol trydanol (system gyfrifiadurol yn seiliedig ar fodel cyfrifo penodol G06N)
Pensaernïaeth Gyfrifiadurol ar gyfer Prosesu Gwrthrychau Cywiro Gan Ddefnyddio Patent Mewnbwn Cyd-destun Dewisol 10915341
Dyfeisiwr: Patrick N. Lawrence (Plano, Texas) Aseinai: Bank of America (Charlotte, North Carolina) Cwmni Cyfreithiol: Dim Rhif Cais Cyfreithiwr, Dyddiad, Cyflymder: Mawrth 28, 2018 15938105 y dydd (1049 diwrnod rhyddhau o'r cais)
Crynodeb: Dyfais sydd wedi'i ffurfweddu i efelychu system prosesu gwrthrychau Correlithm, gan gynnwys cof ac un neu fwy o broseswyr. Mae'r cof yn storio tabl mapio, ac mae'r tabl mapio yn cynnwys lluosogrwydd o gofnodion gwerth cyd-destun, lluosogrwydd o gofnodion gwerth ffynhonnell cyfatebol a lluosogrwydd o gofnodion gwerth targed cyfatebol. Mae pob cofnod gwerth cyd-destun yn cynnwys gwrthrych cysylltiedig. Mae un neu fwy o broseswyr yn derbyn o leiaf un gwerth ffynhonnell mewnbwn a gwerth mewnbwn cyd-destun. Mae'r un neu fwy o broseswyr yn nodi cofnod gwerth cyd-destun sy'n cyfateb i'r gwerth mewnbwn cyd-destun o'r tabl mapio yn seiliedig yn rhannol o leiaf ar y pellter n-dimensiwn rhwng y gwerth mewnbwn cyd-destun a phob cofnod gwerth cyd-destun. Mae'r un neu fwy o broseswyr yn nodi cyfran o'r cofnod gwerth ffynhonnell sy'n cyfateb i'r cofnod gwerth cyd-destun a nodwyd, ac yn nodi ymhellach y cofnod gwerth ffynhonnell sy'n cyfateb i werth y ffynhonnell mewnbwn. Mae un neu fwy o broseswyr yn nodi cofnodion gwerth targed sy'n cyfateb i'r cofnodion gwerth ffynhonnell a nodwyd.
[G06F] Prosesu data digidol trydanol (system gyfrifiadurol yn seiliedig ar fodel cyfrifo penodol G06N)
Dyfeisiwr: Patrick N. Lawrence (Plano, Texas) Aseinai: Bank of America Corporation (Charlotte, NC) Cwmni Cyfreithiol: Dim Rhif Cais Cyfreithiwr, Dyddiad, Cyflymder: 15966786 ar 04/30/2018 (Apiau gyda 1016 o ddiwrnodau rhyddhau)
Haniaethol: System sy'n cynnwys nodau ymyl ar gyfer cyfathrebu signal rhwng nodau mewnol. Mae'r nod ymyl wedi'i ffurfweddu i dderbyn y gwrthrych Correlithm cyntaf o'r ddyfais gyntaf y tu allan i'r rhwydwaith, nodi'r gwrthrych Correlithm mewnbwn o'r tabl nodau gyda'r pellter byrraf, i gael yr ail wrthrych Correlithm o'r tabl nodau sy'n gysylltiedig â'r mewnbwn a nodwyd. gwrthrych correlithm, ac anfon yr ail wrthrych correlithm i'r nod mewnol. Mae'r nod ymyl wedi'i ffurfweddu ymhellach i dderbyn trydydd gwrthrych correlithm o'r nod mewnol mewn ymateb i anfon yr ail gorelithm i'r nod mewnol i nodi'r gwrthrych correlithm mewnbwn gyda'r pellter byrraf o'r tabl nodau i gael y pedwerydd gwrthrych correlithm ohono. Mae'r tabl nodau wedi'i gysylltu â'r gwrthrych correlithm mewnbwn a nodwyd, ac anfonir y pedwerydd gwrthrych correlithm i'r ddyfais gyntaf.
[G06F] Prosesu data digidol trydanol (system gyfrifiadurol yn seiliedig ar fodel cyfrifo penodol G06N)
Pensaernïaeth gyfrifiadurol ar gyfer codio efelychiad yn system prosesu gwrthrychau Correlithm Patent Rhif 10915344
Dyfeisiwr: Patrick N. Lawrence (Plano, Texas) Aseinai: Bank of America Corporation (Charlotte, NC) Cwmni Cyfreithiol: Dim Cwnsler Rhif Cais, Dyddiad, Cyflymder: 16298580 ar 03/11/2019 (701 diwrnod rhyddhau o'r cais)
Crynodeb: Mae dyfeisiau sydd wedi'u ffurfweddu i efelychu system prosesu gwrthrychau Correlithm yn cynnwys synwyryddion wedi'u cysylltu â nodau. Mae'r synhwyrydd cyntaf yn derbyn llinyn testun sampl cyntaf gan gynnwys lluosogrwydd o nodau, ac yn aseinio gwrthrych correlithm i is-set cyfatebol o luosogrwydd nodau'r llinyn testun sampl cyntaf. Mae'r ail synhwyrydd yn derbyn ail linyn testun sampl gan gynnwys lluosogrwydd o nodau, ac yn aseinio gwrthrych cyfatebol i is-set cyfatebol o luosogrwydd cymeriadau llinyn testun yr ail sampl. Mae'r trydydd synhwyrydd yn derbyn llinyn testun prawf gan gynnwys lluosogrwydd o gymeriadau, ac yn aseinio gwrthrych correlithm i is-set cyfatebol o luosogrwydd cymeriadau llinyn testun y prawf. Mae'r nod yn pennu pa un o'r llinynnau testun sampl cyntaf a'r ail sampl sy'n cyfateb orau i'r llinyn testun prawf trwy ddefnyddio'r gwrthrych cydrelithm i benderfynu pa un sy'n agosach at linyn testun y prawf yn y gofod n-dimensiwn.
[G06F] Prosesu data digidol trydanol (system gyfrifiadurol yn seiliedig ar fodel cyfrifo penodol G06N)
Pensaernïaeth gyfrifiadurol ar gyfer efelychu gwrthrychau correlithm llinyn lluosog croestorri yn y system prosesu gwrthrychau correlithm Patent Rhif 10915345
Dyfeisiwr: Patrick N. Lawrence (Plano, Texas) Aseinai: Bank of America Corporation (Charlotte, NC) Cwmni Cyfreithiol: Dim Cwnsler Rhif Cais, Dyddiad, Cyflymder: 16382035 ar 04/ 11/2019 (670 diwrnod rhyddhau o'r cais)
Crynodeb: Mae'r ddyfais sydd wedi'i ffurfweddu i gysylltu data â'r gwrthrych Correlithm aml-linyn yn y system brosesu gwrthrychau Correlithm yn cynnwys generadur gwrthrych Corelithm llinyn deugyfeiriadol, nod, a chof. Mae'r generadur gwrthrych sy'n gysylltiedig â llinyn deugyfeiriadol yn allbynnu gwrthrychau llinynnol dwyochrog lluosog sy'n croestorri wrth wrthrych cysylltiedig yr is-linyn canolog. Mae'r nod yn derbyn elfennau data, gan gynnwys elfennau data cyhoeddus. Mae'r cof yn storio tabl nodau sy'n cysylltu gwrthrychau cysylltiedig ag is-linyn canolog ag elfennau data cyffredin. Mae'r tabl nod yn cysylltu gwrthrychau cysylltiedig is-linyn y gwrthrych llinynnol nod deugyfeiriadol cyntaf â lluosogrwydd cyntaf elfennau data, ac yn cysylltu gwrthrychau cysylltiedig is-linyn yr ail wrthrych llinyn cymeriad deugyfeiriadol â'r ail luosogrwydd o elfennau data.
[G06F] Prosesu data digidol trydanol (system gyfrifiadurol yn seiliedig ar fodel cyfrifo penodol G06N)
Y bensaernïaeth gyfrifiadurol ar gyfer defnyddio gwrthrychau Correlithm i gynrychioli ffurf esbonyddol yn system prosesu gwrthrychau Correlithm gyda rhif patent 10915346
Dyfeisiwr: Patrick N. Lawrence (Plano, Texas) Aseinai: Bank of America (Charlotte, NC) Cwmni Cyfreithiol: Dim Rhif Cais Cyfreithiwr, Dyddiad, Cyflymder: Gorffennaf 24, 2019 16521213 y dydd (566 diwrnod rhyddhau o'r cais)
Crynodeb: System wedi'i ffurfweddu i efelychu system prosesu gwrthrychau Correlithm, gan gynnwys nod mewnbwn, nod allbwn cyntaf, ac ail nod allbwn. Mae'r nod mewnbwn yn derbyn y gwerth digidol gwirioneddol gan gynnwys y mantissa a'r gwerth esboniwr. Mae'r nod allbwn cyntaf yn derbyn y gwerth mantissa ac yn cynhyrchu gwrthrych cydberthynas cyntaf sy'n gysylltiedig â gwerth mantissa. Mae'r ail nod allbwn yn derbyn y gwerth mynegai ac yn cynhyrchu ail wrthrych correlithm sy'n gysylltiedig â'r gwerth mynegai. Mae'r peiriant gwrthrych cymdeithas llinyn yn mapio'r gwrthrych cymdeithasiad cyntaf i wrthrych cymdeithas is-linyn cyntaf y gwrthrych cysylltiad llinynnol, ac yn mapio'r ail wrthrych cymdeithasiad i wrthrych cymdeithas ail is-linyn y gwrthrych cysylltiad llinynnol.
[G06F] Prosesu data digidol trydanol (system gyfrifiadurol yn seiliedig ar fodel cyfrifo penodol G06N)
Dyfeisiwr: Ryan M. Parker (Dallas, Texas), Sravankumar Karanam (Frisco, Texas) Aseinai: Gwasanaethau Capital One, LLC (McLean, Virginia) Cwmni Cyfreithiol: Sterne, Kessler, Goldstein Fox PLLC (2 swyddfa nad yw'n lleol) rhif cais , dyddiad, cyflymder: 16519190 ar Orffennaf 23, 2019 (mae angen cyhoeddi 567 diwrnod o gais)
Crynodeb: Mae'r erthygl hon yn disgrifio system ar gyfer cyflawni modiwlau cyfrifiannol. Mae'r erthygl hon yn disgrifio system ar gyfer gweithredu modiwlau cyfrifiannol. Gall y system benderfynu a yw swyddogaeth y modiwl cyfrifo yn addas i'w weithredu gan ddefnyddio creiddiau lluosog. Mae'r system yn nodi un neu fwy o greiddiau cyfrifiadurol sydd ar gael ac yn cyflawni swyddogaethau ar un neu fwy o greiddiau cyfrifiadurol sydd ar gael. Mae'n bosibl y bydd un neu fwy o greiddiau cyfrifiadurol sydd ar gael yn cael eu neilltuo i gyflawni swyddogaethau hyd nes y bydd y swyddogaeth wedi'i chyflawni. Mae un neu fwy o greiddiau cyfrifiadurol sydd ar gael yn cyflawni tasgau swyddogaethol yn anghydamserol. Mae'r system yn derbyn data allbwn yn asyncronig o'r swyddogaeth mewn strwythur data rhestr. Gall y system gynnal y drefn ofynnol o ddata allbwn yn y strwythur data rhestr.
[G06F] Prosesu data digidol trydanol (system gyfrifiadurol yn seiliedig ar fodel cyfrifo penodol G06N)
System a dull ar gyfer optimeiddio adnoddau peiriannau rhithwir gan ddefnyddio technoleg croesi coed sy'n cynrychioli ffurfweddau amgen Patent Rhif 10915372
Dyfeisiwr: Brian A. Ward (Fort Worth, Texas) Aseinai: Verizon Patent and Licensing Corporation (Basking Ridge, New Jersey) Cwmni Cyfreithiol: Dim Cwnsler Rhif Cais Rhif: Dyddiad, Cyflymder: 16787989 (02/11) / 2020 (mae'r cais yn rhyddhau am 364 diwrnod)
Crynodeb: Gall y system a ddisgrifir yn yr erthygl hon ganiatáu cyfluniad deallus cynwysyddion i adnoddau rhithwir. Gellir cynhyrchu gwahanol ffurfweddiadau yn seiliedig ar efelychiad lleoliad amgen o gynwysyddion ar nodau, lle gall gosod cynhwysydd penodol ar nod penodol wasanaethu fel gwraidd canghennau lluosog, a gall y canghennau hyn eu hunain efelychu lleoliad cynwysyddion eraill ar y nod (yn ychwanegol at y gwraidd Y tu allan i'r cynhwysydd a nodir yn y catalog). Unwaith y bydd set o gyfluniadau wedi'u cynhyrchu, gellir dewis cyfluniad penodol yn seiliedig ar baramedrau dewis penderfynol a / neu dechnegau dewis deallus.
[G06F] Prosesu data digidol trydanol (system gyfrifiadurol yn seiliedig ar fodel cyfrifo penodol G06N)
Dyfeiswyr: Farhad P. Sunavala (San Ramon, California), Henry Louis Fourie (Livermore, California), Hong Zhang (Palo Alto, California) Aseinai: Futurewei Technologies, Inc. (Texa) Plano, Pennsylvania) Cwmni Cyfreithiol: Schwegman Lundberg Woessner , Pennsylvania (11 o swyddfeydd nad ydynt yn lleol) Rhif cais, dyddiad, cyflymder: 16738810 ar Ionawr 9, 2020 (angen cyhoeddi am 397 diwrnod)
Crynodeb: Mae dull a weithredir gan gyfrifiadur ar gyfer prosesu swyddogaethau di-weinydd, gan gynnwys mapio digwyddiadau a dderbyniwyd i gyflwr digwyddiadau o wladwriaethau digwyddiad lluosog mewn diagram swyddogaeth yn unol â rheolau mapio, mae'r diagram swyddogaeth gan gynnwys un neu fwy o'r digwyddiad yn nodi gweithredu. Defnyddiwch yr hidlydd llwyth tâl sy'n gysylltiedig â chyflwr y digwyddiad i hidlo pecynnau data cyflwr y digwyddiad blaenorol ymhlith y cyflyrau digwyddiad lluosog i gynhyrchu pecynnau data wedi'u hidlo. Cyflawni gweithred yn y cyflwr digwyddiad, y mae un neu fwy ohonynt yn bodloni'r rheol mapio. Anfonir y pecyn data wedi'i addasu i'r system gyfrifiadurol i sbarduno cyflawni un neu fwy o swyddogaethau di-weinydd sy'n gysylltiedig â'r un neu fwy o gamau gweithredu a gyflawnwyd. Mae'r pecyn data wedi'i addasu yn seiliedig ar becyn data'r digwyddiad a'r pecyn data wedi'i hidlo. Yn seiliedig ar gyflawni'r swyddogaeth di-weinydd, bydd un neu fwy o ymatebion yn cael eu derbyn.
[G06F] Prosesu data digidol trydanol (system gyfrifiadurol yn seiliedig ar fodel cyfrifo penodol G06N)
Dyfeisiwr: Bachir Aoun (Allen, Texas), James Patrick Kercheville (Dallas, Texas) Aseinai: ATT Intellectual Property I, LP (Atlanta, Georgia) Cwmni Cyfreithiol: Guntin Gust, CCC (3 swyddfa nad yw'n lleol) Rhif y cais, dyddiad, cyflymder: 16208023 ar 12/03/2018 (799 diwrnod o gais i'w ryddhau)
Crynodeb: Gall agweddau ar y ddyfais bresennol gynnwys, er enghraifft, dull sy'n cynnwys: canfod digwyddiad yn ymwneud â defnyddiwr offer ar rwydwaith cyfathrebu; casglu data digwyddiad cyntaf gan gynnwys amser a lleoliad y digwyddiad; a chasglu gwybodaeth am o leiaf ail ddigwyddiad penodol Data ail ddigwyddiad y dimensiwn. Mae'n dibynnu'n rhannol ar y math o ddigwyddiad. Mae'r dull hefyd yn cynnwys cynhyrchu strwythur data digwyddiad sy'n gysylltiedig â'r defnyddiwr ar gyfer pob math o ddigwyddiad yn seiliedig ar ddata'r digwyddiad cyntaf a data'r ail ddigwyddiad. Cysylltwch y strwythur data digwyddiad i gynhyrchu ffrwd hanes digwyddiad sy'n gysylltiedig â'r defnyddiwr; dadansoddi ffrwd hanes y digwyddiad i nodi digwyddiad achosol y digwyddiad a ganfuwyd. Mae'r dull hefyd yn cynnwys cynhyrchu model ar gyfer perfformiad yr offer defnyddiwr yn seiliedig ar y digwyddiad achosol i ragweld digwyddiadau yn y dyfodol, a nodi addasiadau posibl i'r rhwydwaith cyfathrebu i atal y digwyddiad. Mae ymgorfforiadau eraill yn cael eu datgelu.
[G06F] Prosesu data digidol trydanol (system gyfrifiadurol yn seiliedig ar fodel cyfrifo penodol G06N)
Rheolaeth mynediad cof y parth gweithredu trwy'r awdurdod a nodir yn y cofnod tabl tudalen, y rhif patent yw 10915457
Dyfeisiwr: Steven Jeffrey Wallach (Dallas, Texas) Aseinai: Micron Technology, Inc. (Boise, Idaho) Cwmni Cyfreithiol: Greenberg Traurig (27 o swyddfeydd nad ydynt yn lleol) Rhif cais, dyddiad, Cyflymder: o 16520292 ar 23 Gorffennaf, 2019 (567 - cais diwrnod wedi'i ryddhau)
Crynodeb: Yn disgrifio system, dyfais, a dull sy'n gysylltiedig â system gyfrifiadurol sydd â chofnod tabl tudalen sy'n cynnwys math rhagosodedig o fynediad cof ar gyfer gweithredu arferion mewn parth rhagosodol Didau caniatâd. Gellir defnyddio cofnodion tabl tudalen i fapio cyfeiriadau cof rhithwir i gyfeiriadau cof corfforol. Mewn ymateb i'r drefn arferol o gael mynediad i'r cyfeiriad cof rhithwir, gellir tynnu'r darn caniatâd sy'n cyfateb i barth gweithredu'r drefn a'r math o fynediad cof o'r cofnod tabl tudalen i benderfynu a ddylid gwrthod mynediad i'r cof.
[G06F] Prosesu data digidol trydanol (system gyfrifiadurol yn seiliedig ar fodel cyfrifo penodol G06N)
Cof, a ddefnyddir i storio'r set gofrestr parth rhagddiffiniedig, a ddefnyddir i storio'r cyfarwyddiadau a weithredir yn y prosesydd cyfrifiadurol, y rhif patent yw 10915465
Dyfeisiwr: Steven Jeffrey Wallach (Dallas, Texas) Aseinai: Micron Technology, Inc. (Boise, Idaho) Cwmni Cyfreithiol: Greenberg Traurig (27 o swyddfeydd nad ydynt yn lleol) Rhif cais, dyddiad, cyflymder: 16520298 O 2019/07/23 (567 - cais diwrnod wedi'i ryddhau)
Crynodeb: Yn disgrifio'r system, dyfais a dull sy'n gysylltiedig â chofrestr parth y prosesydd yn y system gyfrifiadurol. Mae gan y system gyfrifiadurol gof sydd wedi'i ffurfweddu i storio o leiaf gyfarwyddiadau o arferion a ddosbarthwyd mewn lluosogrwydd o barthau anhierarchaidd rhagosodol. Mae'r prosesydd yn storio dynodwr parth cyfredol y drefn sy'n cael ei gweithredu yn y prosesydd yn y gofrestr parth. Mae'r prosesydd wedi'i ffurfweddu i gyflawni gweithrediadau diogelwch yn seiliedig ar gynnwys y gofrestr parth a'r gosodiadau diogelwch a bennir yn y drefn honno ar gyfer y parthau anhierarchaidd rhagnodedig.
[G06F] Prosesu data digidol trydanol (system gyfrifiadurol yn seiliedig ar fodel cyfrifo penodol G06N)
Dyfeisiwr: William Presley Winkler (Dallas, Texas) Aseinai: GLOBAL IDS, INC. (Princeton, NJ) Cwmni Cyfreithiol: Mannava Kang, PC (1 swyddfa nad yw'n lleol) Rhif y cais, dyddiad, cyflymder: 15628340 ar 06/20/2017 (cais 1330 diwrnod i'w ryddhau)
Crynodeb: Yn ôl enghraifft o'r datgeliad presennol, datgelir system a dull a weithredir gan gyfrifiadur ar gyfer cysylltu data mewn un neu fwy o gronfeydd data. Mae'r dull yn cynnwys dewis o leiaf un maes yn seiliedig ar fewnbwn defnyddiwr, lle mae'r maes yn nodi categori o'r elfen ddata. Yn ogystal, mae'r dull yn cynnwys cynhyrchu lluosogrwydd o ddynodwyr unffurf yn seiliedig ar o leiaf un parth ac un neu fwy o gronfeydd data, lle mae pob un o luosogrwydd dynodwyr unffurf yn cyfateb i enghraifft o un parth o leiaf. Mae'r dull hefyd yn cynnwys cysylltu lluosogrwydd o ddynodwyr lifrai i gysylltu achosion sy'n cyfateb i luosogrwydd dynodwyr lifrai i gysylltu data sydd wedi'i storio mewn un neu fwy o gronfeydd data.
[G06F] Prosesu data digidol trydanol (system gyfrifiadurol yn seiliedig ar fodel cyfrifo penodol G06N)
Dyfeiswyr: Angela Kane (Angela Kane (Rolter, Texas), Jayacandra Valmar (Irving, Texas), Manu Cullian (Dallas, Texas), Salissa Prasad Fritamani (Plano, Texas) Aseinai: Corfforaeth Banc America (Charlotte, Gogledd Carolina) Cyfraith Cadarn: Banner Witcoff, Ltd. (3 swyddfa nad yw'n lleol) Rhif y Cais, dyddiad, cyflymder: 16106259 ar 08/21/2018 (903 diwrnod o'r cais i'w ryddhau)
Crynodeb: Yn darparu system ar gyfer dilysu deinamig. Mewn rhai enghreifftiau, efallai y bydd y system yn derbyn cais i drin y digwyddiad. Mewn rhai enghreifftiau, gall y cais i brosesu’r digwyddiad gynnwys manylion ychwanegol sy’n gysylltiedig â’r digwyddiad. Gall y system actifadu dilysu deinamig a gall adalw data o ffynonellau lluosog. Mewn rhai enghreifftiau, gellir defnyddio dysgu peirianyddol i ddadansoddi data o ffynonellau lluosog i gynhyrchu data dilysu yn ddeinamig, megis un neu fwy o gwestiynau dilysu. Gall y system hefyd gynhyrchu un neu fwy o ymatebion neu atebion cyfatebol i un neu fwy o gwestiynau dilysu. Mewn rhai enghreifftiau, gellir anfon un neu fwy o gwestiynau dilysu at ddyfais y defnyddiwr neu ddyfais arall, a gellir eu harddangos i'r defnyddiwr. Gall y defnyddiwr ddarparu data ymateb dilysu y gellir ei ddadansoddi gan y system i benderfynu a yw'n cyfateb i'r ymateb neu'r ateb a gynhyrchir. Os felly, gellir dilysu'r defnyddiwr a/neu gellir prosesu'r digwyddiad.
Dyfeisiwr: James D. Testerman (McKinney, Texas), James M. Burke (Frisco, Texas) Aseinai: Dell Products LP (Round Rock, Texas) Swyddfa: Norton Rose Fulbright US LLP (lleol + 13 o ddinasoedd metropolitan eraill) Rhif y cais, dyddiad, cyflymder: 15499253 ar Ebrill 27, 2017 (1384 diwrnod o ryddhau cais)
Crynodeb: Mae rhai gwefannau y ceir mynediad iddynt trwy borwr yn caniatáu i ffeiliau gael eu llwytho i fyny trwy lusgo a gollwng. Yn y gweithrediad llusgo a gollwng, mae'r defnyddiwr yn dewis ffeil ar y system prosesu gwybodaeth ac yn llusgo'r ffeil i ffenestr y porwr i'w huwchlwytho trwy'r porwr. Gall systemau amgryptio ffeiliau (fel systemau ffeiliau rhithwir) weithredu algorithmau amgryptio a gorfodi safonau amgryptio a osodwyd gan ddefnyddwyr neu sefydliadau wrth uwchlwytho ffeiliau trwy borwr (gan gynnwys uwchlwythiadau ffeiliau a gyflawnir gan swyddogaethau llusgo a gollwng ffeiliau).
[G06F] Prosesu data digidol trydanol (system gyfrifiadurol yn seiliedig ar fodel cyfrifo penodol G06N)
Dyfeisiwr: Debashis Choudhury (Dallas, Texas) Aseinai: DeCurtis LLC (Orlando, Florida) Cwmni Cyfreithiol: Dim Rhif Cais Cwnsler, Dyddiad, Cyflymder: 17010191 ar Fedi 2, 2020 (dyddiad cyhoeddi yw 160 Diwrnod))
Crynodeb: System, dull, a chyfarwyddiadau gweithredadwy cyfrifiadurol ar gyfer pennu tymheredd unigolyn, gan gynnwys derbyn ffrâm delwedd gyntaf o gamera cyntaf. Mae unigolyn yn cael ei ganfod yn ffrâm y ddelwedd gyntaf. Mae nodweddion wyneb yr unigolyn yn cael eu canfod o ffrâm y ddelwedd gyntaf. Darganfyddwch leoliad sianeli poeth yr ymennydd yn ôl nodweddion wyneb yr unigolyn Mae'r ail gamera yn darparu ail ffrâm delwedd gan gynnwys data thermol. Mae lleoliad sianel thermol yr ymennydd o'r ffrâm ddelwedd gyntaf wedi'i fapio i ffrâm yr ail ddelwedd. Ar gyfer pob ail ffrâm delwedd, pennir tymheredd yr unigolyn yn seiliedig ar leoliad sianel poeth yr ymennydd wedi'i fapio. Mae'r tymheredd cyfunol yn cael ei bennu yn seiliedig ar y tymheredd a bennir o'r ail ffrâm ddelwedd.
[G06K] adnabod data; cynrychioli data; cludwr recordiau; cludwr cofnodion prosesu (argraffu B41J ei hun)
Dyfeiswyr: Galen S. Swint (Dallas, Texas), Michael T. Meadows (Dallas, Texas), Steven T. Archer (Dallas, Texas), Thomas Rouse (Dallas, Texas) Dallas) Aseinai: Dallas Limetree, LLC (Dallas, Texas) Cwmni Cyfreithiol: Ferguson Braswell Fraser Kubasta PC (3 swyddfa nad yw'n lleol) Rhif cais, dyddiad, cyflymder: Chwefror 14, 2020 16791944 (wedi'i bostio mewn 361 diwrnod)
Crynodeb: Mae'r modiwl rhyngwyneb yn cael y cynnwys sy'n cynnwys un neu fwy o elfennau. Tynnwch un neu fwy o fectorau nodwedd o'r cynnwys. Mae un neu fwy o fectorau nodwedd yn cynnwys fector nodwedd sy'n nodi un o un neu fwy o elfennau'r fector. Mae'r modiwl sgorio dosbarthiad yn cynhyrchu un neu fwy o fectorau dosbarthu o un neu fwy o fectorau nodwedd. Mae un neu fwy o fectorau dosbarthu yn cynnwys fector dosbarthu sy'n nodi un neu fwy o nodweddion yr elfen o'r cynnwys. Cyfuno un neu fwy o fectorau dosbarthu, a nodi un neu fwy o nodweddion y cynnwys i ffurfio fector cyfanredol. Mae targed y cynnwys yn cael ei ganfod trwy gynhyrchu llinyn nod sy'n disgrifio'r cynnwys o'r fector agregu. Cyflwynir nodau a chynnwys gyda'i gilydd.
[G06K] adnabod data; cynrychioli data; cludwr recordiau; cludwr cofnodion prosesu (argraffu B41J ei hun)
Dyfeisiwr: J. Stuart Fitts (Dallas, Texas), Philip J. Romano (Dallas, Texas) Aseinai: Partner Deor Bwyty Trinity Groves, LP (Dallas, Texas) Cwmni Cyfreithiol: Dim rhif cais cyfreithiwr, dyddiad, Cyflymder: 14688619 ar Ebrill 16, 2015 (2126 diwrnod ar ôl rhyddhau'r cais)
Crynodeb: Offer sy'n cynnwys o leiaf un cof wedi'i ffurfweddu i storio gwybodaeth sy'n nodi perfformiadau bwytai lluosog sy'n gysylltiedig â phrosiect deorydd. Mae'r ddyfais hefyd yn cynnwys o leiaf un prosesydd wedi'i ffurfweddu i gyfateb perfformiadau bwyty dros amser i nodi pryd mae perfformiad un bwyty yn dibynnu ar berfformiadau un neu fwy o fwytai eraill. Efallai y bydd o leiaf un prosesydd yn cael ei ffurfweddu i gyfateb perfformiad y bwyty trwy gydberthynas ymweliadau brig cwsmeriaid â'r bwyty dros amser. Mae'n bosibl y bydd o leiaf un prosesydd hefyd yn cael ei ffurfweddu i gyfateb ymweliadau brig cwsmeriaid i nodi a ddylid ymweld ag un o'r bwytai dynodedig yn amlach pan fo bwytai eraill yn brysur.
[G06Q] System neu ddull prosesu data, sy'n arbennig o addas at ddibenion gweinyddol, masnachol, ariannol, rheoli, goruchwylio neu ragweld; systemau neu ddulliau nad ydynt wedi'u mabwysiadu'n benodol at ddibenion rheoli, masnachol, ariannol, rheoli, goruchwylio neu ragweld, ond nad ydynt wedi'u [2006.01]Darparu
System a dull ar gyfer cydbwyso llwyth cyflawni'r rhwydwaith cyflenwi manwerthu cyfan mewn cyfuniad â chostau defnyddio capasiti wedi'u haddasu, rhif patent 10915854
Dyfeisiwr: Saurabh Gupta (Irvine, Texas) Aseinai: International Business Machines Corporation (Armonk, NY) Cwmni Cyfreithiol: Scully, Scott, Murphy Presser, PC (1 heb fod yn swyddfa leol) Rhif cais , Dyddiad, cyflymder: Mai 13, 2016 15154119 (1733 diwrnod o gais wedi'i ryddhau)
Crynodeb: Dull a system sy'n ystyried cost defnyddio gallu addasu wrth gyflawni trefn nodau. Mae'r dull yn cynnwys derbyn cofnodion electronig o archebion cyfredol trwy fodiwl cost defnyddio capasiti wedi'i deilwra. Mae'r dull yn cynnwys adalw data o nodau lluosog a chyfrifo'r defnydd gwirioneddol o gapasiti. Mae'r dull yn cynnwys trosi'n awtomatig y gyfradd defnyddio capasiti gwirioneddol a'r uchafswm cost a bennwyd ymlaen llaw ar gyfer pob un o'r nodau lluosog i gydbwyso'r gyfradd defnyddio capasiti ar y nodau lluosog yn gost defnyddio capasiti wedi'i deilwra, a defnyddio'r cynhwysedd wedi'i deilwra Mae costau cyfradd yn cael eu trosglwyddo i'r injan cyflawni archeb. Mae'r dull yn cynnwys derbyn archebion cyfredol gan y peiriant gweithredu archeb, prosesu data cost a chostau defnyddio capasiti wedi'u haddasu. Mae'r dull yn cynnwys cyfrifo'r gost gweithredu yn awtomatig a nodi'r aseiniad dilyniant nod gyda'r gost gweithredu isaf.
[G06Q] System neu ddull prosesu data, sy'n arbennig o addas at ddibenion gweinyddol, masnachol, ariannol, rheoli, goruchwylio neu ragweld; systemau neu ddulliau nad ydynt wedi'u mabwysiadu'n benodol at ddibenion rheoli, masnachol, ariannol, rheoli, goruchwylio neu ragweld, ond nad ydynt wedi'u [2006.01]Darparu
System ar gyfer cofnodi defnydd cynnyrch trwy nodi nodweddion acwstig y cynnyrch Patent Rhif 10915862
Dyfeisiwr: Jose A. Corella, III (Flower Hills, Texas) Aseinai: Kimberly-Clark Worldwide, Inc. (Veneto, Wisconsin) Cwmni Cyfreithiol: Kimberly-Clark Worldwide, Inc. (2 swyddfa nad yw'n lleol)) Rhif y cais, dyddiad , cyflymder: 16771830 ar Ragfyr 20, 2018 (angen 782 diwrnod o ryddhau'r cais)
Crynodeb: Datgelir system ar gyfer cofnodi defnydd cynnyrch o gynhyrchion. Gall y system gynnwys dyfais storio sydd wedi'i ffurfweddu i storio'r model llofnod acwstig. Gall y model nodwedd acwstig gynrychioli proffil acwstig y cynnyrch a allyrrir wrth ddefnyddio'r cynnyrch. Gall y system hefyd gynnwys mecanwaith dal clywedol a phrosesydd. Gellir ffurfweddu'r mecanwaith dal clywedol i fonitro proffil sain defnydd posibl yr amgylchedd defnydd cynnyrch a dal y proffil sain defnydd posibl. Efallai y bydd y prosesydd yn cael ei ffurfweddu i ddadansoddi'r proffil sain defnydd posibl a ddaliwyd mewn perthynas â'r model llofnod acwstig, a dangos cyfatebiaeth gymwys pan fydd y proffil sain defnydd posibl a ddaliwyd yn bodloni'r model llofnod acwstig. Gellir ffurfweddu'r system i gofnodi defnydd cynnyrch pan fydd y prosesydd yn nodi cyfatebiaeth gymwys.
[G06F] Prosesu data digidol trydanol (system gyfrifiadurol yn seiliedig ar fodel cyfrifo penodol G06N)
Dyfeisiwr: Aaron Brady (McKinney, Texas) Aseinai: Intuit inc. (Mountain View, California) Cwmni Cyfreithiol: Paradice a Li LLP (1 swyddfa nad yw'n lleol) Rhif cais, dyddiad, cyflymder: 14555264 ar Dachwedd 26, 2014 (mae angen cyhoeddi 2267 diwrnod o gais)
Crynodeb: Mae'r system a'r dull ar gyfer rheoli rhybuddion yn dadansoddi data ariannol a dderbyniwyd o ffynonellau lluosog yn unol â rheolau ariannol sy'n ymwneud â chwsmeriaid a defnyddwyr. Mae data ariannol nad yw'n bodloni un neu fwy o amodau'r rheolau ariannol yn cael ei drefnu a'i gyflwyno i'r gweithwyr proffesiynol ariannol sy'n rheoli'r data ariannol ar ran y cwsmer sy'n gleientiaid. Deall amodau penodol rhai rheolau ariannol yn seiliedig ar ddigwyddiadau sy'n ymwneud â data ariannol. Mae rhai rheolau ariannol yn cael eu gorfodi ar gyfer cwsmeriaid lluosog, tra bod eraill ar gyfer cwsmeriaid penodol.
[G06Q] System neu ddull prosesu data, sy'n arbennig o addas at ddibenion gweinyddol, masnachol, ariannol, rheoli, goruchwylio neu ragweld; systemau neu ddulliau nad ydynt wedi'u mabwysiadu'n benodol at ddibenion rheoli, masnachol, ariannol, rheoli, goruchwylio neu ragweld, ond nad ydynt wedi'u [2006.01]Darparu
Dyfeisiwr: Xia Guangsong (Fremont, California), Chen Pu (Shenzhen, Shenzhen) Aseinai: Fuwei Technology Co, Ltd (Plano, Texas) Cwmni Cyfreithiol: Dim rhif cais cyfreithiwr, dyddiad, cyflymder: 16266845 ar 02/04/2019 (cais 736 diwrnod oed wedi'i gyhoeddi)
Crynodeb: Darperir ymgorfforiadau i weithredu rhithwiroli uned brosesu graffeg (GPU) ar gyfer cymwysiadau lled band uchel neu gyfradd uchel fel gemau 3D, lle mae'r cleient yn cyfathrebu â'r gwesteiwr trwy seilwaith bwrdd gwaith rhithwir (VDI). Mae rhithwiroli GPU gwasgaredig yn caniatáu i un neu fwy o VMs neu westeion neu gydrannau tebyg gael mynediad i GPUs ar wahanol gydrannau neu beiriannau ffisegol mewn canolfan ddata neu rwydwaith i gyfathrebu. Mae'r cyfrifiadur corfforol cyntaf yn cychwyn y swyddogaeth gyrrwr arddangos o bell i brosesu'r cais am rendro graffeg gan y cleient trwy'r porth. Cyfarwyddwch yr ail gyfrifiadur corfforol gan gynnwys y GPU i ddefnyddio'r GPU i gychwyn swyddogaeth rendro'r cleient. Yna, anfonir y graffeg wedi'i rendro at y cleient trwy'r porth.
Dyfeisiwr: Walter Accessor (Little Elm, Texas) Aseinai: TOYOTA MOTOR NORTH AMERICA, Inc. (Plano, Texas) Cwmni Cyfreithiol: Snell Wilmer LLP (5 swyddfa nad yw'n lleol) Rhif y cais, dyddiad, cyflymder: 16163457 ar 10/17/ 2018 (846 diwrnod o ryddhau’r cais)
Crynodeb: Dull a system ar gyfer gwella paent cerbydau cerbydau mewn ardal ddaearyddol benodol. Mae'r system yn cynnwys synhwyrydd paent wedi'i leoli y tu allan i'r cerbyd ac wedi'i ffurfweddu i ganfod data paent y cerbyd. Mae'r system hefyd yn cynnwys synhwyrydd golau amgylchynol wedi'i ffurfweddu i ganfod data golau amgylchynol pan fydd y synhwyrydd paent yn canfod data paent. Mae'r system hefyd yn cynnwys transceiver cerbyd wedi'i ffurfweddu i drosglwyddo data paent a data golau amgylchynol. Mae'r system hefyd yn cynnwys gweinydd data anghysbell sydd wedi'i ffurfweddu i dderbyn data paent a data golau amgylchynol o'r cerbyd, a phennu fformiwla paent well neu broses baent well yn seiliedig ar y data paent a data golau amgylchynol.
Diweddariad cynyddol o fodel blaendir cefndirol gyda ffenestr amsugno deinamig a throthwy model cefndir Patent Rhif 10916039
Dyfeiswyr: Kishor Adinath Saitwal (Peerland, Texas), Lôn W. Risinger (Texas), Wesley Kenneth Cobb (Woodland, Texas) Aseinai: Intellective Ai, Inc. (Dallas, Texas) Cwmni Cyfreithiol: Dim rhif cais Cwnsler, dyddiad, cyflymder : 16456470 ar Mehefin 28, 2019 (592 diwrnod o gais wedi'i ryddhau)
Crynodeb: Datgelir techneg ar gyfer creu model cefndir o olygfa gan ddefnyddio dull seiliedig ar bicseli a dull seiliedig ar gyd-destun. Mae'r dull cyfunol yn darparu techneg effeithiol ar gyfer segmentu blaendir yr olygfa o'r cefndir yn fframiau'r ffrwd fideo. Yn ogystal, gellir graddio'r dull i brosesu nifer fawr o borthiant camera ar yr un pryd, er enghraifft, gan ddefnyddio pensaernïaeth brosesu gyfochrog, tra'n dal i gynhyrchu modelau cefndir cywir. Yn ogystal, gall y defnydd ar yr un pryd o ddulliau picsel a dulliau seiliedig ar gyd-destun sicrhau y gall y system dadansoddi fideo ymateb yn effeithiol ac yn effeithlon i newidiadau yn yr olygfa heb gynyddu cymhlethdod cyfrifiannol yn ormodol. Yn ogystal, datgelir techneg sy'n diweddaru'r trothwy cefndir / blaendir yn ddeinamig trwy amsugno picseli blaendir i'r model cefndir trwy ffenestr amsugno, a thrwy hynny ddiweddaru'r model cefndir ffrâm wrth ffrâm.
[G06K] adnabod data; cynrychioli data; cludwr recordiau; cludwr cofnodion prosesu (argraffu B41J ei hun)
Dyfeisiwr: Madhukar Budagavi (Plano, Texas) Aseinai: Samsung Electronics Co, Ltd (Suwon City, KR) Cwmni Cyfreithiol: Dim Cwnsler Rhif Cais: Dyddiad, Cyflymder: 16357133 (03/18) / 2019 (cais 694 diwrnod oed rhyddhau)
Crynodeb: Dull ar gyfer amgodio cwmwl pwynt, gan gynnwys cynhyrchu ffrâm gyntaf ac ail ffrâm sy'n cynrychioli cymylau pwynt 3D o wahanol ddyfnderoedd ar gyfer cwmwl pwynt 3D, lle mae'r ffrâm gyntaf a'r ail ffrâm yr un yn cynnwys clwstwr o bwyntiau 3D Set o glyt cymylau pwynt. Mae'r dull hefyd yn cynnwys amgodio'r ffrâm gyntaf. Ar ôl amgodio'r ffrâm gyntaf, mae'r dull yn cynnwys datgodio'r ffrâm gyntaf. Mae'r dull hefyd yn cynnwys cynhyrchu trydydd ffrâm, y drydedd ffrâm yn cynrychioli'r gwahaniaeth rhwng pwynt cyfatebol yr ail ffrâm a'r ffrâm gyntaf wedi'i datgodio. Mae'r dull hefyd yn cynnwys amgodio'r drydedd ffrâm. Mae'r dull hefyd yn cynnwys cynhyrchu llif did cywasgedig gan gynnwys y ffrâm gyntaf wedi'i hamgodio a'r drydedd ffrâm wedi'i hamgodio. Mae'r dull hefyd yn cynnwys anfon y ffrwd did cywasgedig.
Dyfeiswyr: Alfonso G. Chan (Colliville, Texas), Kermit D. Lopez (Albuquerque, Mecsico), Luis M. Ortiz (Albuquerque, Mecsico), Michael W. Shore (Texa Dallas, Texas) Aseinai: Micro-Gaming Ventures, LLC (Dallas, Texas) Cwmni Cyfreithiol: Ortiz Lopez, PLLC (2 swyddfa nad yw'n lleol) Rhif y cais, dyddiad, cyflymder: 15/218/2016 /7/25/2016 (mae angen cyflwyno cais 1660 diwrnod)
Crynodeb: System dywod dull betio ar sail sefyllfa. Gellir defnyddio gwasanaethau betio ar-lein trwy ddyfeisiau symudol. Yna mae'n bosibl pennu lleoliad y ddyfais symudol a'r awdurdodaeth sy'n gysylltiedig â'r lleoliad hwnnw (ee, talaith, sir, dinas, ac ati). Gellir awdurdodi'r ddyfais symudol yn seiliedig ar leoliad y ddyfais symudol ac felly mae'r defnyddiwr wedi'i awdurdodi i gael mynediad i'r gwasanaeth betio ar-lein. Yn seiliedig ar y lleoliad, gellir penderfynu hefyd a ganiateir gwasanaethau betio ar-lein yn yr awdurdodaeth a'r cyfyngiadau defnydd rhagnodedig yn yr awdurdodaeth. Yna mae'r ddyfais symudol yn darparu opsiwn betio sy'n cydymffurfio â chyfreithiau a / neu reoliadau'r awdurdodaeth.
Dyfeisiwr: Stephen L. Hodge (Aubrey, Texas) Aseinai: Global Tel * Link (Reston, Virginia) Cwmni Cyfreithiol: Sterne, Kessler, Goldstein Fox PLLC (Swyddfa 2 nad ydynt yn lleol) Rhif Cais: Dyddiad, Cyflymder: 16450337 ar Fehefin 24, 2019 (596 diwrnod o ryddhau’r cais)
Crynodeb: Mae'r datgeliad hwn yn rhoi manylion systemau a dulliau ar gyfer dyfeisiau cyfathrebu ar gyfer gwarchodwyr mewn amgylchedd rheoledig. Sefydlir dyfais gyfathrebu yn seiliedig ar seilwaith diwifr dan do mewn amgylchedd rheoledig, ac mae'r ddyfais gyfathrebu yn derbyn signalau lleoli diwifr i gyfrifo a phennu lleoliad amser real y defnyddiwr sy'n cario'r ddyfais. Gellir defnyddio lleoli diwifr dan do mewn cyfuniad â dulliau lleoli eraill sydd ar gael i ddarparu gwybodaeth lleoli manwl uchel ar gyfer y ddyfais amddiffynnol. Mae'r offer cyfathrebu yn canfod, yn monitro ac yn cofnodi gweithgareddau'r gwarchodwyr dros gyfnod o amser, ac yn cael ei reoli gan y ganolfan reoli. Gall y ganolfan reoli a'r offer cyfathrebu ymateb yn gyflym i wahanol sefyllfaoedd annormal. Yn ogystal, mae offer cyfathrebu yn canfod offer electronig gwaharddedig ac yn monitro amgylchedd cyfagos y ddyfais amddiffynnol. Felly, mae'r system a'r dull a ddatgelwyd ar gyfer dyfeisiau cyfathrebu yn darparu gwell cyfathrebu a monitro ar gyfer gwarchodwyr mewn amgylchedd rheoledig.
Dyfeisiwr: Ryan M. Wiesenberg (Ann Arbor, Michigan) Aseinai: Toyota Motor Engineering Manufacturing North America, Inc. (Plano, Texas) Cwmni Cyfreithiol: Darrow Mustafa PC (2 swyddfa nad yw'n lleol) Swyddfa) Rhif y cais:, dyddiad, cyflymder : 16719137 ar Rhagfyr 18, 2019 (mae angen cyhoeddi ceisiadau am 419 diwrnod)
Crynodeb: Mae system ar gyfer cynhyrchu catalog mannau parcio yn cynnwys o leiaf un prosesydd a dyfais storio mewn cysylltiad ag o leiaf un prosesydd. Mae'r ddyfais storio yn cynnwys modiwl cyfathrebu, modiwl cyfwng hyder a modiwl cynhyrchu catalog. Mae'r modiwl yn cynnwys cyfarwyddiadau sydd, pan gaiff ei weithredu gan un neu fwy o broseswyr, yn achosi i un neu fwy o broseswyr gael gwybodaeth sy'n ymwneud ag o leiaf un man parcio â cherbyd wedi'i barcio yn yr o leiaf un man parcio. Mae'r wybodaeth parcio yn pennu cyfwng hyder yr o leiaf un man parcio. Man parcio yw man parcio gwirioneddol cerbyd sydd wedi defnyddio o leiaf un lle parcio ac wedi cynhyrchu catalog o o leiaf un lle parcio, y catalog yn cynnwys lleoliad y man parcio a'r cyfwng hyder sy'n gysylltiedig â'r man parcio o leiaf un lle parcio.
[G08G] System rheoli traffig (arwain traffig rheilffordd i sicrhau diogelwch traffig rheilffordd B61L; radar neu system debyg, system sonar neu system lidar, yn arbennig o addas ar gyfer rheoli traffig G01S 13/91, G01S 15/88, G01S 17/88; Radar neu systemau tebyg sydd wedi'u cynllunio'n arbennig at ddibenion osgoi gwrthdrawiadau, systemau sonar neu systemau lidar G01S 13/93, G01S 15/93, G01S 17/93 rheoli safle, pennawd, ac uchder cerbydau tir, dŵr, aer neu ofod Neu osgo, ddim yn benodol i'r amgylchedd traffig G05D 1/00) [2]
Dyfais storio magnetig metel ar gyfer gweithrediad tymheredd isel a'i ddull gweithredu Patent Rhif 10916284
Dyfeiswyr: Michael Ho (Redwood City, California), Paul Vanderheijden (Cupertino, California), Quang Le (San Jose, California), Li Zhanjie (Pleasanton, California), Bai Zhigang (Fremont, California) Person: SANDISK TECHNOLOGIES LLC (Addison , Texas) Cwmni Cyfreithiol: Marbury Law Group, PLLC (3 swyddfa nad yw'n lleol) rhif cais, dyddiad, cyflymder: 16902641 (dyddiad rhyddhau) ar Mehefin 16, 2020 Am 238 diwrnod)
Haniaethol: Mae dyfais MRAM yn cynnwys falf cylchdro sy'n cynnwys haen gyfeirio gyda chyfeiriad magnetization sefydlog, haen rhad ac am ddim, a haen rhwystr metel anfagnetig rhwng yr haen gyfeirio a'r haen rhad ac am ddim, a Strwythur cynorthwyo metel, gall y strwythur darparu'r trorym trosglwyddo troellog o gylchdroi Darperir haen rhad ac am ddim ar gyfer cynorthwyo newid yr haen rhad ac am ddim yn ystod rhaglennu ar yr haen rhad ac am ddim, a bwlch metel anfagnetig cyntaf rhwng yr haen rhad ac am ddim a'r strwythur ategol metel Llawr.
[G11C] storfa statig (storio gwybodaeth yn seiliedig ar y symudiad cymharol rhwng y cludwr record a'r transducer G11B; dyfeisiau lled-ddargludyddion a ddefnyddir i storio H01L, megis H01L 27/108-H01L 27/11597; yn gyffredinol technoleg pwls H03K, megis switsh electronig H03K 17/00)
Dyfais cof ferroelectrig yn cynnwys transistorau giât dethol wedi'u cysylltu mewn cyfres a'i dull ffurfio Patent Rhif 10916287
Dyfeisiwr: Johann Alsmeier (San Jose, California), Yanli Zhang (San Jose, California) Aseinai: SANDISK TECHNOLOGIES LLC (Addison, Texas) Cwmni Cyfreithiol: Marbury Law Group, PLLC (3 swyddfa nad yw'n lleol)) Rhif y cais, dyddiad , cyflymder: 16454458 ar 27 Mehefin, 2019 (angen 593 diwrnod o ryddhau'r cais)
Haniaethol: Mae'r gell gof ferrodrydanol yn cynnwys transistor giât ddethol a thrawsydd cof ferrodrydanol wedi'i gysylltu mewn cyfres. Defnyddir y transistor giât dethol i droi'r gell cof ferroelectrig ymlaen ac i ffwrdd. Mae'r data'n cael ei storio yn haen ddeunydd ferroelectrig y transistor cof ferroelectrig. Efallai y bydd gan y gell uned cof ferroelectrig strwythur planar, lle mae'r ddau transistor yn transistorau planar gyda chyfeiriad cerrynt llorweddol. Yn yr achos hwn, gellir ffurfio electrod giât y transistor mynediad fel gwifren claddedig. Fel arall, gall cell uned cof ferroelectrig gynnwys pentwr fertigol o sianeli lled-ddargludyddion fertigol.
[G11C] storfa statig (storio gwybodaeth yn seiliedig ar y symudiad cymharol rhwng y cludwr record a'r transducer G11B; dyfeisiau lled-ddargludyddion a ddefnyddir i storio H01L, megis H01L 27/108-H01L 27/11597; yn gyffredinol technoleg pwls H03K, megis switsh electronig H03K 17/00)
Dyfais gyda rhesymeg methu diogel ar sglodion ar gyfer signalau I/O mewn cymwysiadau diogelwch swyddogaethol cywirdeb uchel Patent Rhif 10916467
Dyfeisiwr: Sam Gnana Sabapathy (Sugarland, Texas) Aseinai: Texas Instruments (Dallas, Texas) Cwmni Cyfreithiol: Dim Cwnsler Rhif Cais, Dyddiad, Cyflymder: 15584500 ar 05/02/2017 (1379 diwrnod, dyddiad y cais) wedi'i gyhoeddi)
Crynodeb: Datgelir sglodyn cylched integredig wedi'i becynnu (IC) sy'n darparu gwiriad methu-diogel signal mewnbwn/allbwn (I/O). Mae'r sglodion IC wedi'i becynnu yn cynnwys uned brosesu gyntaf, dyfais ymylol reoli gyntaf, ac mae'r ddyfais ymylol reoli gyntaf yn cael ei chyplysu i dderbyn y signal prosesu cyntaf o'r uned brosesu a darparu signal allbwn a rhesymeg cymhariaeth. Mae'r rhesymeg gymharu wedi'i chyplysu i dderbyn y signal allbwn a'r signal cymharu, i gymharu'r signal allbwn a'r signal cymharu, a darparu signal gwall mewn ymateb i'r gwahaniaeth rhwng y signal allbwn a'r signal cymharu.
[G01R] Mesur newidynnau trydanol; mesur newidynnau magnetig (sy'n nodi'r addasiad cywir o'r gylched soniarus H03J 3/12)
Dull a system ar gyfer integreiddio albymau lluniau a rennir gyda chymwysiadau negeseuon Patent Rhif 10917372
Dyfeisiwr: Sean Michael McBeath (Keller, Texas) Aseinai: BlackBerry Limited (Waterloo, California) Cwmni Cyfreithiol: Conley Rose, PC (3 swyddfa nad yw'n lleol) Rhif cais, dyddiad, cyflymder: 16138575 O 21 Medi, 2018 (cais 872 diwrnod rhyddhau)
Crynodeb: Dull ar ddyfais electronig, mae'r dull yn cynnwys derbyn mewnbwn rhyngwyneb defnyddiwr mewn cymhwysiad negeseuon ar y ddyfais electronig, y signal mewnbwn rhyngwyneb defnyddiwr yn nodi bod y lluniau neu'r fideos a gyfnewidiwyd yn yr edefyn neges ar y rhaglen negeseuon Dylai o leiaf un ohonynt cael ei storio mewn albwm a rennir; cymharu aelodaeth gohebydd yr edefyn neges â'r aelodaeth mewn o leiaf un albwm a rennir sy'n hygyrch gan y ddyfais electronig; dewis o leiaf un albwm a rennir yn seiliedig ar ganlyniad y gymhariaeth; dewiswch o leiaf un albwm a rennir Mae un wedi'i ffurfweddu fel albwm a rennir wedi'i ffurfweddu.
[G06F] Prosesu data digidol trydanol (system gyfrifiadurol yn seiliedig ar fodel cyfrifo penodol G06N)
Dyfeisiwr: Manu Jacob Kurian (Dallas, Texas) Aseinai: Bank of America (Charlotte, NC) Cwmni Cyfreithiol: Moore Van Allen PLLC (6 swyddfa nad ydynt yn lleol) Rhif cais, dyddiad, cyflymder: 16175348 ar 10/30/2018 (833 bydd dyddiau'r cais yn cael eu rhyddhau)
Haniaethol: Grid diogelu data grid nerfol, sydd wedi'i leoli mewn twnnel, fel llwybr trosglwyddo ar gyfer trosglwyddo ffeiliau mawr (hy, trosglwyddo ffeiliau). Mae'r grid yn cynnwys matrics rhithwir o ardaloedd diogel mewn cynwysyddion. Mae pob parth diogelwch wedi'i ffurfweddu i weithredu fel pwynt disgwyliedig yn llwybr trosglwyddo ffeil ddata neu segment ffeil ddata. Yn ogystal, mae pob parth diogelwch cynhwysydd yn cynnwys rhesymeg wedi'i ffurfweddu i gyflawni un neu fwy o wiriadau diogelwch ar y data. Mae agwedd niwral y grid diogelu data yn golygu bod y rhesymeg hefyd wedi'i ffurfweddu i bennu lefel ymddiriedaeth y parth diogelwch cynhwysydd dros amser yn seiliedig ar lefel yr ymddiriedaeth / hyder y mae'r parth diogelwch wedi'i gyrraedd.
[G06F] Prosesu data digidol trydanol (system gyfrifiadurol yn seiliedig ar fodel cyfrifo penodol G06N)
Dyfeiswyr: Craig Marion (Livermore, California), Emil Soleyman (Flower Hill, California), Paul Simon (San Jose, California), Tina Asher Marion (Livermore, California) Aseinai: Pragmatic Medical Devices, LLC (Huaqiu, Texas) Cwmni Cyfreithiol : Dim rhif cais cyfreithiwr, dyddiad, cyflymder: 16279223 ar Chwefror 19, 2019 (721 diwrnod i'w gyhoeddi)
Crynodeb: Cydran a ddefnyddir i gynnal a thynnu ceblau peiriant yn ôl, a thrwy hynny amddiffyn y cydrannau gweithredu sy'n gysylltiedig â cheblau'r peiriant. Mae'r system rheoli cebl yn cynnwys o leiaf un modiwl rheoli cebl modiwlaidd sydd wedi'i osod ar y plât mowntio, sy'n caniatáu gosod y system rheoli cebl ar beiriant neu arwyneb arall. Mae gan y system clampiau ar gyfer gosod ceblau peiriannau. Cefnogir y clamp ar ben y canllaw colyn. Mae'r modiwl rheoli cebl yn cynnwys system tynnu'n ôl sy'n tynnu clamp cebl y peiriant yn ôl o'r safle estynedig. Trwy ddefnyddio'r clamp cebl wedi'i dynnu'n ôl ar y canllaw colyn, mae'r system yn caniatáu defnyddio cydrannau gweithredu sy'n gysylltiedig â'r ceblau peiriant sefydlog a threfniant y ceblau peiriant fel nad yw'r ceblau peiriant yn ymestyn i'r llawr o amgylch y peiriant. Mae'r system yn ailgyfeirio'r tensiwn ar gebl y peiriant, felly os yw person sy'n mynd heibio yn cydio yn y cebl peiriant, ni fydd y cydrannau gweithredu yn cael eu tynnu i'r llawr.
[H01B] Cebl; Arweinydd; Ynysydd Dewiswch y deunydd a ddefnyddir ar gyfer ei briodweddau dargludol, insiwleiddio neu ddielectrig (dewiswch briodweddau magnetig H01F 1/00; dewiswch donfedd H01P)
Dull o greu wyneb gwlybadwy mewn pecyn QFN i wella dibynadwyedd Patent Rhif 10916448
Dyfeisiwr: Sadia Naseem (Dallas, Texas), Vikas Gupta (Dallas, Texas) Aseinai: OFFERYNNAU TEXAS CYNNWYS (Dallas, Texas) Cwmni Cyfreithiol: Dim Cwnsler Rhif Cais, Dyddiad, Cyflymder: 16027558 ar 07/05/2018 (cais wedi'i ryddhau am 950 diwrnod)
Crynodeb: Mae'r egwyddor a ddatgelwyd yn darparu dull i weithredu prosesau argraffu metel cost isel a chyflym yn QFN a phrosesau cydosod pecyn di-blwm eraill i argraffu deunyddiau sodro yn ddetholus mewn ardaloedd sy'n dueddol o rydu, fel arall bydd yn dod â risg dibynadwyedd. Trwy fabwysiadu proses argraffu metel dethol yn y broses becynnu i gwmpasu'r holl feysydd sy'n agored i risg gyda deunyddiau sodro, mae'n bosibl datrys problemau cyrydiad copr a pherfformiad BLR gwael yn y pecynnau di-blwm sy'n dal i fod â mannau copr agored ar ôl y pecyn wedi'i wahanu. Er enghraifft, ar gyfer pecyn di-blwm a ffurfiwyd gan ddefnyddio ffrâm plwm printiedig, gellir sodro ei adneuo i'r rhigol a ffurfiwyd ar ôl passivating y pecyn tâp gan inkjet, argraffu sgrin, argraffu stensil, neu argraffu ffotonig. Mae'r rhaniad yn cael ei berfformio gan y rhigol y mae'r sodrwr wedi'i argraffu ynddo, ac mae'n achosi i'r wyneb uchaf gwlybadwy ac arwyneb wal ochr pen allanol ffrâm plwm pob pecyn fod yn wlyb.
[H01L] Offer lled-ddargludyddion; nid yw offer trydanol cyflwr solet arall wedi'i ddarparu eto (offer lled-ddargludyddion ar gyfer mesur G01; gwrthyddion ar gyfer H01C cyffredinol; magnetau, anwythyddion, trawsnewidyddion H01F; cynwysyddion ar gyfer H01G pwrpas cyffredinol; offer electrolytig H01G 9/00; batris, cronyddion H01G ; , manylion tai neu strwythurol offer trydanol, gweithgynhyrchu cydrannau trydanol H05K; dyfeisiau lled-ddargludyddion a ddefnyddir mewn cylchedau â chymwysiadau penodol, cyfeiriwch at is-gategorïau'r cais)[2]
Patent Rhif 10916504 gan gynnwys dyfais cof tri dimensiwn gyda haen dargludol sy'n cynnwys leinin molybdenwm
Dyfeiswyr: Kensuke Yamaguchi (Kwana City, Japan), Naoki Takeguchi (Nagoya, Japan), Raghuveer S. Makala (Campbell, California, UDA), Yokkaichi (JP), Ardderchog Yusuke (Nagoya, Japan) Aseinai: SANDISK TECHNOLOGIES LLC (Addison , Texas) Cwmni Cyfreithiol: Marbury Law Group PLLC (3 swyddfa nad yw'n lleol) Rhif cais, dyddiad, cyflymder: Mehefin 14, 2019 16441439 (606 diwrnod i'w gyhoeddi)
Haniaethol: Mae pentyrrau eraill o haenau insiwleiddio a haenau deunydd aberthol yn cael eu ffurfio ar y swbstrad. Mae strwythur y pentwr cof yn cael ei ffurfio trwy bentyrru bob yn ail. Mae strwythur pob pentwr cof yn cynnwys ffilm gof a sianel lled-ddargludyddion fertigol. Mae'r rhigol backside yn cael ei ffurfio trwy dynnu'r haen deunydd aberthol a ddewiswyd ar gyfer yr haen inswleiddio a strwythur y pentwr cof. Mae'r haen dargludol yn cael ei ffurfio yn y rhigol gefn. Mae pob haen dargludol yn cynnwys haen leinin dargludol sy'n cynnwys molybdenwm a rhan llenwi metel gan gynnwys metel heblaw molybdenwm.
[H01L] Offer lled-ddargludyddion; nid yw offer trydanol cyflwr solet arall wedi'i ddarparu eto (offer lled-ddargludyddion ar gyfer mesur G01; gwrthyddion ar gyfer H01C cyffredinol; magnetau, anwythyddion, trawsnewidyddion H01F; cynwysyddion ar gyfer H01G pwrpas cyffredinol; offer electrolytig H01G 9/00; batris, cronyddion H01G ; , manylion tai neu strwythurol offer trydanol, gweithgynhyrchu cydrannau trydanol H05K; dyfeisiau lled-ddargludyddion a ddefnyddir mewn cylchedau â chymwysiadau penodol, cyfeiriwch at is-gategorïau'r cais)[2]
Yn darparu dull a system ar gyfer modiwl diogelwch mewnosodedig caledwedd peirianneg gwrth-wrthdroi, y rhif patent yw 10916513
Dyfeisiwr: Mark S. Rodder (Dallas, Texas) Aseinai: Samsung Electronics Co., Ltd. (Talaith Gyeonggi, De Korea) Cwmni Cyfreithiol: Van Pelt, Yi James LLP (1 swyddfa nad yw'n lleol) Rhif y cais, dyddiad, cyflymder: 16453475 ar Mehefin 26, 2019 (594 diwrnod i ryddhau'r cais)
Crynodeb: Yn disgrifio system diogelwch caledwedd wedi'i fewnosod. Mae'r system yn cynnwys cydrannau cysylltu, elfennau cylched ac ynysyddion. Mae'r aelod cyswllt yn cynnwys haen dargludedd amrywiol, sy'n ddargludol ar gyfer y gymhareb stoichiometrig gyntaf ac wedi'i hinswleiddio ar gyfer yr ail gymhareb stoichiometrig. Mae rhan gyntaf yr elfen gylched wedi'i chysylltu â rhan gyntaf y rhan gyswllt ac mae'n symudol. Mae ail ran yr elfen gylched wedi'i gysylltu ag ail ran y rhan gyswllt ac mae'n anactif. Mae'r ynysydd wrth ymyl o leiaf rhan o bob aelod cysylltiad. Trwy ddelweddu optegol a delweddu electronig o ran o'r ynysydd a'r haen dargludedd newidiol, nid oes gwahaniaeth rhwng y stoichiometry cyntaf a'r ail stoichiometry.
[H01L] Offer lled-ddargludyddion; nid yw offer trydanol cyflwr solet arall wedi'i ddarparu eto (offer lled-ddargludyddion ar gyfer mesur G01; gwrthyddion ar gyfer H01C cyffredinol; magnetau, anwythyddion, trawsnewidyddion H01F; cynwysyddion ar gyfer H01G pwrpas cyffredinol; offer electrolytig H01G 9/00; batris, cronyddion H01G ; , manylion tai neu strwythurol offer trydanol, gweithgynhyrchu cydrannau trydanol H05K; dyfeisiau lled-ddargludyddion a ddefnyddir mewn cylchedau â chymwysiadau penodol, cyfeiriwch at is-gategorïau'r cais)[2]
Dyfais cof tri dimensiwn yn defnyddio llinell ffynhonnell wedi'i chladdu gyda haen dwnelu lled-ddargludyddion tenau Patent Rhif 10916556
Dyfeisiwr: Sakakibara Kiyohiko (Yokkaichi, JP), Takumi Moriyama (Yokkaichi, JP), Xu Yuxian (Yokkaichi, JP) Aseinai: SANDISK TECHNOLOGIES LLC (Addison, TX) Cwmni Cyfreithiol: The Marbury Law Group, PLLC (3 swyddfa nad yw'n lleol) rhif cais, dyddiad, cyflymder: 16199885 ar 11/26/2018 (rhyddhau'r cais am 806 diwrnod)
Haniaethol: Dyfais cof tri dimensiwn, gan gynnwys pentwr haen deunydd ffynhonnell sydd wedi'i leoli uwchben swbstrad, mae'r haen yn cynnwys haen lled-ddargludyddion ffynhonnell is o'r gwaelod i'r brig, haen twnelu lled-ddargludyddion ocsid, a haen gyswllt ffynhonnell sy'n cynnwys lled-ddargludyddion doped Deunyddiau , yr haen lled-ddargludyddion lefel ffynhonnell uchaf, pentyrru haenau dargludol ac inswleiddio bob yn ail uwchben y pentwr haen deunydd lefel ffynhonnell, a haen lled-ddargludyddion lefel strwythur y pentwr cof sy'n ymestyn trwy'r pentwr arall ac i mewn i ran uchaf y ffynhonnell is, lle mae pob cof Mae'r strwythur pentyrru yn cynnwys sianel lled-ddargludyddion fertigol a ffilm storio yn ochrol o amgylch y sianel lled-ddargludyddion fertigol, ac mae pob sianel lled-ddargludyddion fertigol yn ymestyn yn fertigol trwy'r haen gyswllt ffynhonnell ac wedi'i gysylltu'n drydanol â'r haen cyswllt ffynhonnell.
[H01L] Offer lled-ddargludyddion; nid yw offer trydanol cyflwr solet arall wedi'i ddarparu eto (offer lled-ddargludyddion ar gyfer mesur G01; gwrthyddion ar gyfer H01C cyffredinol; magnetau, anwythyddion, trawsnewidyddion H01F; cynwysyddion ar gyfer H01G pwrpas cyffredinol; offer electrolytig H01G 9/00; batris, cronyddion H01G ; , manylion tai neu strwythurol offer trydanol, gweithgynhyrchu cydrannau trydanol H05K; dyfeisiau lled-ddargludyddion a ddefnyddir mewn cylchedau â chymwysiadau penodol, cyfeiriwch at is-gategorïau'r cais)[2]
Dyfeisiwr: Angelo William Pereira (Plano, TX), Rida Shawky Assaad (Murphy, TX) Aseinai: OFFERYNNAU TEXAS WEDI'U CYNNWYS (Dallas, TX) Cwmni Cyfreithiol: Dim cyfreithiwr Rhif cais, dyddiad, cyflymder: 16160470 10/15/2018 (cais wedi'i ryddhau am 848 diwrnod)
Crynodeb: Yn yr enghraifft a ddisgrifir, mae dyfais yn cynnwys o leiaf un glicied ynghyd â foltedd cyflenwad pŵer positif cyntaf a foltedd cyflenwad pŵer negyddol cyntaf, gyda'r glicied â chefn wrth gefn cyntaf wedi'i gysylltu â'i gilydd Gwrthdröydd ac ail wrthdröydd i allbynnu foltedd cyntaf sy'n cyfateb i'r cyflwr clicied cyntaf ac ail foltedd sy'n cyfateb i'r ail gyflwr clicied mewn ymateb i'r signal gosod cyntaf a'r signal ailosod cyntaf. Mae'r gylched ynysu wedi'i chysylltu â'r ail foltedd cyflenwad pŵer positif a'r ail foltedd cyflenwad pŵer negyddol, ac mae'n cael ei gyplysu i dderbyn yr ail signal set a'r ail signal ailosod. Mae'r ail foltedd cyflenwad pŵer cadarnhaol yn annibynnol ar y foltedd cyflenwad pŵer cadarnhaol cyntaf. Mae'r gylched ynysu yn allbynnu'r signal set gyntaf a'r signal ailosod cyntaf, ac mae'n cynnwys llai na dau bâr o dransistorau lled-ddargludyddion metel ocsid estynedig (DEMOS).
[H01L] Offer lled-ddargludyddion; nid yw offer trydanol cyflwr solet arall wedi'i ddarparu eto (offer lled-ddargludyddion ar gyfer mesur G01; gwrthyddion ar gyfer H01C cyffredinol; magnetau, anwythyddion, trawsnewidyddion H01F; cynwysyddion ar gyfer H01G pwrpas cyffredinol; offer electrolytig H01G 9/00; batris, cronyddion H01G ; , manylion tai neu strwythurol offer trydanol, gweithgynhyrchu cydrannau trydanol H05K; dyfeisiau lled-ddargludyddion a ddefnyddir mewn cylchedau â chymwysiadau penodol, cyfeiriwch at is-gategorïau'r cais)[2]
Dyfeisiwr: Jerred A. Chute (Dallas, Texas), Michael D. Irwin (Dallas, Texas) Aseinai: HEE Solar, LLC (Dallas, Texas) Cwmni Cyfreithiol: Baker Botts LLP (lleol + 8 dinas arall) Rhif y cais, dyddiad , cyflymder: 16117290 ar Awst 30, 2018 (mae'n cymryd 894 diwrnod i'w gyhoeddi)
Crynodeb: Gall dyfeisiau ffotofoltäig, megis celloedd solar, celloedd solar hybrid, a dyfeisiau eraill o'r fath gynnwys haen weithredol rhwng dau electrod, gyda'r haen weithredol â deunyddiau perovskite a deunyddiau eraill, megis deunyddiau mesoporous, haen rhyngwyneb, cotio tenau haen rhyngwyneb a chyfuniadau ohonynt. Gall deunyddiau Perovskite fod yn ffotoweithredol. Gellir gosod y deunydd perovskite rhwng dau neu fwy o ddeunyddiau eraill yn y ddyfais ffotofoltäig. Gall cynnwys y deunyddiau hyn mewn trefniadau amrywiol o fewn yr haen weithredol o ddyfeisiau ffotofoltäig wella perfformiad dyfeisiau. Gellir cynnwys deunyddiau eraill i wella perfformiad dyfeisiau ymhellach, megis: perovskite ychwanegol a haen rhyngwyneb ychwanegol.
[H01L] Offer lled-ddargludyddion; nid yw offer trydanol cyflwr solet arall wedi'i ddarparu eto (offer lled-ddargludyddion ar gyfer mesur G01; gwrthyddion ar gyfer H01C cyffredinol; magnetau, anwythyddion, trawsnewidyddion H01F; cynwysyddion ar gyfer H01G pwrpas cyffredinol; offer electrolytig H01G 9/00; batris, cronyddion H01G ; , manylion tai neu strwythurol offer trydanol, gweithgynhyrchu cydrannau trydanol H05K; dyfeisiau lled-ddargludyddion a ddefnyddir mewn cylchedau â chymwysiadau penodol, cyfeiriwch at is-gategorïau'r cais)[2]
Dyfeisiwr: Geoffrey D. Hitchens (Allen, Texas) Aseinai: Lynntech, Inc. (University City, Texas) Cwmni Cyfreithiol: Chalker Flores, LLP (lleol) rhif cais, dyddiad, cyflymder : 15983333, 2005/18/2018 (cais wedi'i ryddhau am 998 diwrnod)
Crynodeb: Mae'r ddyfais bresennol yn cynnwys dyfais a dull ar gyfer storio cyfaint a phwysau adweithyddion a gwastraff, gan gynnwys: un neu fwy o adweithyddion neu gapsiwlau storio tanwydd; ac un neu fwy o gapsiwlau adwaith. Ac un neu fwy o fagiau storio gwastraff, lle mae'r adweithydd neu'r bag storio tanwydd a'r bag storio gwastraff yn ffurfio pentwr o fagiau, a gosodir y bag wedi'i bentyrru rhwng yr adweithydd neu'r bag storio tanwydd a'r bag storio gwastraff, pan fydd yr adweithydd neu'r tanwydd yn cael ei ddefnyddio Ac wrth lenwi'r bag gwastraff, gellir cynnal y cydbwysedd cyfaint a phwysau.
[H01M] Proses neu ddyfais a ddefnyddir i drosi ynni cemegol yn uniongyrchol yn ynni trydanol, megis batris [2]
Dyfeiswyr: Daniel Folick (Long Beach, California), Jared Farnsworth (Gardner, California), Komotuki Kimata (Aichi Prefecture, JP), Hasegawa Shigeki (Aichi Prefecture, JP) Aseinai: TOYOTA MOTOR PEIRIANNEG CYNHYRCHU GOGLEDD AMERICA, INC. (Plano, Texas) Cwmni Cyfreithiol: Snell Wilmer LLP (5 swyddfa nad ydynt yn lleol) Rhif cais, dyddiad, cyflymder: 16264461 ar Ionawr 31, 2019 (740 diwrnod i'w gyhoeddi)
Crynodeb: Dulliau, systemau ac offer ar gyfer systemau rheoli llif aer. Mae'r system reoli yn rheoli'r llif aer trwy bentwr celloedd tanwydd y cerbyd. Mae'r system reoli yn cynnwys dwy neu fwy o gydrannau, gan gynnwys un neu fwy o actuators a chelloedd tanwydd. Mae'r system reoli yn cynnwys uned reoli electronig sy'n gysylltiedig â dwy gydran neu fwy. Mae'r system reoli wedi'i ffurfweddu i bennu'r gwerth cychwynnol a'r gwerth cam amser blaenorol. Mae'r system reoli wedi'i ffurfweddu i bennu neu amcangyfrif cyfanswm pwysau'r llif aer ym mhob un o'r ddwy gydran neu fwy yn seiliedig ar y gwerth cychwynnol a'r gwerth cam amser blaenorol. Mae'r system reoli wedi'i ffurfweddu i reoli un neu fwy o actuators yn seiliedig ar gyfanswm pwysau'r llif aer ym mhob un o'r ddwy gydran neu fwy.
[H01M] Proses neu ddyfais a ddefnyddir i drosi ynni cemegol yn uniongyrchol yn ynni trydanol, megis batris [2]
Antena arae fesul cam gyda lled trawst ongl drychiad newid a dulliau cysylltiedig Patent Rhif 10916835
Dyfeisiwr: Michael Brobston (Allen, Texas) Aseinai: CommScope Technologies LLC (Hickory, North Carolina) Cwmni Cyfreithiol: Myers Bigel, PA (1 swyddfa nad yw'n lleol) Rhif cais, dyddiad, Cyflymder: 15968813, 05/02/2018 (1014 diwrnodau rhyddhau cais)
Crynodeb: Antena arae fesul cam gan gynnwys transceiver cyntaf, lluosogrwydd o elfennau pelydru cyntaf wedi'u trefnu mewn arae llinol gyntaf, rhwydwaith bwydo cyntaf wedi'i fewnosod yn drydanol rhwng yr elfen belydru gyntaf a'r trosglwyddydd cyntaf, a'r switsh cyntaf wedi'i gyplysu ar ei hyd yw yn y rhwydwaith bwydo cyntaf, ac mae cyflwr y switsh cyntaf yn selectable i addasu nifer yr elfennau pelydru cyntaf sy'n gysylltiedig yn drydanol â'r transceiver cyntaf.
Dyfeisiwr: Jakob Carnemark (Fairfield, Connecticut), Piero Oliveri (Monroe, New Jersey) Aseinai: Inertech IP LLC (Plano, Texas) Cwmni Cyfreithiol: Weber Rosselli Cannon LLP (heb ei ddarganfod Lleoliad) Rhif Cais: Dyddiad, Cyflymder: 16505543 ar Awst 8, 2019 (ceisiadau y gellir eu rhyddhau o fewn 582 diwrnod)
Crynodeb: Mae system cyflenwi pŵer yn cynnwys dyfais storio ynni sydd wedi'i chysylltu'n drydanol â'r grid, cydran dosbarthu pŵer sydd wedi'i chysylltu'n drydanol â'r llwyth, a dyfais cynhyrchu pŵer sydd wedi'i chysylltu'n drydanol â'r gydran dosbarthu pŵer. Mae'r ddyfais storio ynni a'r grid pŵer wedi'u ffurfweddu i ddarparu pŵer sydd ag ystod foltedd cyntaf i gydran dosbarthu pŵer, sydd yn ei dro wedi'i ffurfweddu i ddarparu pŵer trydanol sydd ag ail ystod foltedd sy'n llai na'r ystod foltedd cyntaf i lwyth. Mae'r ddyfais storio ynni a'r ddyfais cynhyrchu pŵer wedi'u ffurfweddu i ddarparu'r llif pŵer i'r gydran dosbarthu pŵer o leiaf dros dro pan fydd y pŵer o'r grid yn cael ei ymyrryd fel bod llif pŵer di-dor o leiaf yn cael ei ddarparu i'r llwyth. .
[H02J] Dyfais cylched neu system a ddefnyddir ar gyfer cyflenwad pŵer neu ddosbarthu; system storio ynni trydan (cylched cyflenwad pŵer G01T 1/175 ar gyfer offer a ddefnyddir i fesur pelydrau-X, pelydrau gama, ymbelydredd gronynnau neu belydrau cosmig; a ddefnyddir yn benodol ar gyfer rhannau di-symud Cylched cyflenwad pŵer ar gyfer cloc electronig G04G 19/00; a ddefnyddir ar gyfer cyfrifiadur digidol G06F 1/18; a ddefnyddir ar gyfer tiwb rhyddhau H01J 37/248; cyfuniad H02P; rheoli pŵer amledd uchel H03L yn ogystal, defnyddio llinell bŵer neu grid i drosglwyddo gwybodaeth H04B)
Dyfeiswyr: Brian M. Graue (Melissa, TX), Kirk A. Miller (Dallas, TX), William T. Bogert (Plano, TX) Aseinai: Cwmni Raytheon (Waltham, Massachusetts, Texas) Cwmni Cyfreithiol: Rhif Cais Twrnai Rhif y Cais , Dyddiad, Cyflymder: Mehefin 14, 2018 (Apiau wedi'u rhyddhau mewn 1600 diwrnod) 16007003
Haniaethol: Mae moduron torque yn cynnwys rotor ardal fawr, stator o amgylch o leiaf cyfran o'r rotor, a bwlch aer bach sy'n gwahanu'r rotor oddi wrth y stator i ganiatáu cyplu thermol di-ffrithiant rhwng y rotor a'r stator. Mae'r gwres o'r rotor yn cael ei drosglwyddo i'r stator trwy ddargludiad. Mae'r stator yn cysylltu ag arwyneb mewnol y tai ar gyfer y modur torque i gyplu'n drydanol â'r llif aer amgylchynol oer y tu allan i'r llety modur torque. Efallai y bydd gan y bwlch aer faint o tua 0.002 i 0.003 modfedd. Gellir cysylltu'r stator yn ddargludol â'r tai modur torque trwy un o bad bwlch thermol neu gyfansawdd llenwi bwlch thermol dargludol iawn. Yn ddelfrydol, mae'r dargludiad gwres o'r rotor i'r stator yn digwydd heb i'r rotor gylchdroi.
[H02K] Peiriannau trydan (cyfnewid trydan H01H 53/00; trosi pŵer mewnbwn DC neu AC yn bŵer allbwn ymchwydd H02M 9/00)
Dyfeiswyr: Brian Thomas Lynch (Brooklyn, New Hampshire), Stefan Wlodzimierz Wiktor (Raleigh, Gogledd Carolina) Aseinai: Texas Instruments Incorporated (Dallas, Texas) Cwmni Cyfreithiol: Dim Cwnsler Rhif Cais, Dyddiad, Cyflymder : 16,399,482 o 04/30/2019 (cais wedi'i ryddhau am 651 diwrnod)
Crynodeb: Datgelir dull, dyfais, system, ac eitem weithgynhyrchu ar gyfer cychwyn y trawsnewidydd i foltedd cyn-duedd. Mae'r dull, dyfais, system ac erthygl a ddatgelwyd yn darparu dyfais sy'n cynnwys: mwyhadur gwall, gan gynnwys rhwydwaith adborth a mwyhadur gwahaniaethol gwahaniaethol (DDA), mae'r DDA wedi'i gysylltu â thrawsnewidydd pŵer, generadur foltedd, a chyplydd rhwydwaith adborth Y trydydd mewnbwn i'r Ddeddf Gwahaniaethu ar sail Anabledd, pedwerydd mewnbwn y DGSA ac allbwn y DGSA; amlblecsydd, ynghyd â'r generadur foltedd, ail fewnbwn DGSA a mewnbwn cyntaf y DGSA; y switsh cyntaf ynghyd yn gyfochrog â'r rhwydwaith adborth Ail switsh, sydd wedi'i gysylltu â'r uned oedi a'r osgiliadur; mae'r sbardun yn cynnwys allbwn, mae'r sbardun wedi'i gyplysu â'r generadur foltedd, y trawsnewidydd pŵer, ac mae allbwn y sbardun yn cael ei gyplysu â'r amlblecsydd, y switsh cyntaf a'r ail switsh.
[H02M] Dyfeisiau a ddefnyddir ar gyfer trosi rhwng AC ac AC, rhwng AC a DC, neu rhwng DC a DC, a dyfeisiau a ddefnyddir gyda gridiau pŵer neu systemau pŵer tebyg; trosi pŵer mewnbwn DC neu AC yn bŵer Allbwn ymchwydd; rheoli neu reoleiddio (trosi cerrynt neu foltedd, yn arbennig o addas ar gyfer clociau electronig heb rannau symudol G04G 19/02; a ddefnyddir fel arfer i reoleiddio systemau newidiol trydanol neu magnetig, megis trawsnewidyddion, adweithyddion neu dagu, mae'r rhain yn gyfuniad o'r ddyfais mae system o trawsnewidydd statig G05F; a ddefnyddir ar gyfer cyfrifiadur digidol H01F 1/00; rheoli neu reoleiddio modur, generadur neu drawsnewidydd generadur-modur H02P;
Dyfeisiwr: Masashi Nogawa (Sax, Texas), Narasimhan Trichy (Plano, Texas) Aseinai: Active-Semi (BVI) Inc. (Allen, Texas) Cwmni Cyfreithiol: Withrow Terranova, PLLC (1 swyddfa nad yw'n lleol) Rhif y cais, dyddiad, cyflymder: 16117014 ar Awst 30, 2018 (894 diwrnod i ryddhau'r cais)
Crynodeb: Dull rheoli gweithrediad aml-gam, gan gynnwys y camau canlynol: ffurfweddu cyfnodau pŵer lluosog trawsnewidydd pŵer i basio tocynnau yn olynol rhwng y cyfnodau pŵer lluosog, a throi'r cyfnod pŵer cyntaf ymlaen ar ôl i'r tocyn fod yn eiddo i'r cyfnod pŵer cyntaf Mae'r cyfnod pŵer, sy'n gweithredu mewn modd rhyngddalennog ac yn derbyn signal sbardun o gylched reoli'r cyfnod pŵer cyntaf, yn trosglwyddo'r tocyn i'r ail gyfnod pŵer ar ôl diwedd y cyfnod pŵer cyntaf, ac yn pasio'r tocynnau yn eu tro hyd at lluosog. cyfnodau pŵer. Mae gan y cam pŵer olaf y tocyn ac mae'n cael ei anfon ymlaen. Ar ôl i'r cam pŵer olaf ddod i ben, dyrennir y tocyn i'r cam pŵer cyntaf.
[H02M] Dyfeisiau a ddefnyddir ar gyfer trosi rhwng AC ac AC, rhwng AC a DC, neu rhwng DC a DC, a dyfeisiau a ddefnyddir gyda gridiau pŵer neu systemau pŵer tebyg; trosi pŵer mewnbwn DC neu AC yn bŵer Allbwn ymchwydd; rheoli neu reoleiddio (trosi cerrynt neu foltedd, yn arbennig o addas ar gyfer clociau electronig heb rannau symudol G04G 19/02; a ddefnyddir fel arfer i reoleiddio systemau newidiol trydanol neu magnetig, megis trawsnewidyddion, adweithyddion neu dagu, mae'r rhain yn gyfuniad o'r ddyfais mae system o trawsnewidydd statig G05F; a ddefnyddir ar gyfer cyfrifiadur digidol H01F 1/00; rheoli neu reoleiddio modur, generadur neu drawsnewidydd generadur-modur H02P;
Dyfeisiwr: Tommy F. Rodrigues (Nutley, NJ) Aseinai: Corfforaeth Buddsoddi mewn Deunyddiau Adeiladu (Dallas, Texas) Cwmni Cyfreithiol: Womble Bond Dickinson (UDA) Partneriaeth Gyfyngedig (14 o swyddfeydd nad ydynt yn lleol) Rhif y cais, dyddiad, cyflymder: 16904759 ymlaen Mehefin 18, 2020 (cyhoeddwyd cais am 236 diwrnod)
Haniaethol: Mae gan y system ffotofoltäig integredig ar y to (RIPV) deils solar lluosog wedi'u gosod ar y to. Gellir defnyddio system estyll a awyrendy metel neu ryw system atodi arall i osod y teils. Mae gan bob teils gyffordd ymyl trydanol yn ymestyn yn ôl o'i ymyl uchaf. Mae'r gyffordd ymyl yn goplanar â phlân y deilsen solar neu'n cynnwys plân y deilsen solar, a gall fod ychydig yn fwy trwchus na thrwch y deilsen solar. Mae'r socedi ar ben arall y cyffyrdd ymyl yn gartref i blygiau cebl a ddefnyddir i gydgysylltu'r araeau teils solar yn drydanol â'i gilydd. Mae'r gyffordd ymyl yn darparu dull gosod allwedd isel a all efelychu ymddangosiad teils to traddodiadol (fel teils llechi). Gall y cymalau ymyl mwy trwchus godi un haen o deils solar i wyneb yr haen isaf nesaf o deils solar i ddarparu awyru ar gyfer yr arae RIPV a darparu lle ar gyfer gwifrau system.
[H02S] Cynhyrchu trydan trwy drosi ymbelydredd isgoch, golau gweladwy, neu olau uwchfioled, er enghraifft, gan ddefnyddio modiwlau ffotofoltäig [PV] (casglwr solar F24J 2/00; cael ynni trydanol o ffynhonnell ymbelydredd G21H 1/12; sy'n sensitif i olau) anorganig dyfeisiau lled-ddargludyddion H01L 31/00; dyfais thermoelectric H01L 35/00; dyfais thermoelectric H01L 37/00; dyfais lled-ddargludyddion organig ffotosensitif H01L 51/42) [2014.01]
Dyfeisiwr: Aalok Dyuti Saha (Kuche Behar, Indiana), Bhaskar Ramachandran (Coimbatore, Indiana) Aseinai: TEXAS INSTRUMENTS INCORPORATED (Dallas, Texas) Cwmni Cyfreithiol: Dim rhif cais cyfreithiwr, Dyddiad, cyflymder: 16185591 ar 11/09/2018 (823) diwrnodau cais wedi'i ryddhau)
Haniaethol: Mae'r mwyhadur servo yn cynnwys transistor deubegwn cyntaf, ail transistor deubegwn, transistor cascode a transistor bias. Mae'r ail transistor deubegwn yn cynnwys terfynell allyrrydd sydd wedi'i gysylltu â'r transistor deubegwn cyntaf i ffurfio terfynell allyrrydd mwyhadur gwahaniaethol. Mae'r transistor cascode yn cynnwys terfynell ffynhonnell sy'n gysylltiedig â therfynell casglwr y transistor deubegwn cyntaf. Mae'r transistor bias wedi'i gysylltu â'r transistor deubegwn cyntaf, yr ail transistor deubegwn a'r transistor cod cas. Mae'r transistor bias wedi'i ffurfweddu i gynhyrchu foltedd gogwydd yn seiliedig ar y foltedd ar derfynell waelod y transistor deubegwn cyntaf a'r foltedd ar derfynell waelod yr ail transistor deubegwn i yrru terfynell giât y transistor casgod. O ganlyniad, nid yw'r transistor deubegwn yn mynd i mewn i'r rhanbarth dirlawnder yn ystod gweithrediad dros dro neu gyflwr sefydlog.
[H03F] Mwyhadur (mesur, prawf G01R; mwyhadur parametrig optegol G02F; dyfais cylched gyda thiwb allyriadau eilaidd H01J 43/30; laser, laser H01S; mwyhadur generadur-modur H02K; rheoli cyfradd ymhelaethu H03G; a Mwyhadur dyfais Cyplu, rhannwr foltedd H03H, yn annibynnol ar natur y ddyfais; mwyhadur sy'n gallu trin pwls H03K yn unig;
Dyfeisiwr: Albrecht Lutz Naumann (Geldern, yr Almaen) Aseinai: Texas Instruments Incorporated (Dallas, Texas) Cwmni Cyfreithiol: Dim Cwnsler Rhif Cais, Dyddiad, Cyflymder: 16591009 ar 2019/02/02 (496 diwrnod) Rhyddhau cais)
Crynodeb: Dyfais enghreifftiol sy'n cynnwys rhesymeg rhannwr sy'n rhannu sampl mewnbwn â nifer a bennwyd ymlaen llaw o ddidau yn segment cyntaf o'r did mwyaf arwyddocaol ac yn ail segment o'r did lleiaf arwyddocaol. Mae rhesymeg curiad y galon yn cynhyrchu patrymau curiad y galon sy'n gysylltiedig â gwerth y did mwyaf arwyddocaol. Mae rhesymeg y symudwr ymyl yn pennu data addasu ymyl yn ôl gwerth y did lleiaf arwyddocaol, ac mae'r data addasu ymyl yn cynrychioli addasiad i o leiaf un ymyl yn y patrwm pwls. Mae'r rhesymeg cyfuno yn cynhyrchu llif pwls gwell trwy addasu o leiaf un ymyl yn y patrwm pwls yn seiliedig ar y data addasu ymyl.
[H03K] Technoleg pwls (mesur nodweddion pwls G01R; defnyddio pwls H03C i fodiwleiddio osciliad sinwsoidal; trosglwyddo gwybodaeth ddigidol H04L; mae'r gylched gwahaniaethol yn canfod y gwahaniaeth cyfnod rhwng y ddau signal trwy gyfrif neu integreiddio'r cyfnod osciliad H03D 3/04; Rheolaeth awtomatig, cychwyn, cydamseru neu sefydlogi generaduron sy'n annibynnol ar y math o osciliad electronig neu generadur curiad y galon neu heb ei nodi;
Dyfeiswyr: David F. Swanson (Belleville, Michigan), Giovanni Luca Torrisi (Aci Catania, TG), Laurent Chevalier (Roizad, FR), Vanni Poletto (Milan, TG) aseinai : STMICROELECTRONICS, Inc. (Koper, Texas) Cwmni Cyfreithiol : Slater Matsil, LLP (lleol + 1 metropolis arall) rhif cais, dyddiad, cyflymder: 2019/12/18 16719053 (cyhoeddwyd 419 diwrnod gofynnol o gais)
Crynodeb: Cylched ar gyfer rheoli lluosogrwydd cyntaf transistorau sydd wedi'u cysylltu'n baralel ac ail luosogrwydd transistorau wedi'u cysylltu'n gyfochrog, sy'n cynnwys: lluosogrwydd cyntaf o gamau, mae un cyfatebol o'r lluosogrwydd cyntaf o gamau wedi'i ffurfweddu i Mae'r cam cyflenwi yn darparu'r cyntaf signal rheoli. Un cyfatebol o lluosogrwydd cyntaf transistorau; ac ail lluosogrwydd o gamau, mae'r un cyfatebol o'r ail luosogrwydd camau wedi'i ffurfweddu i ddarparu ail signal rheoli i un cyfatebol o'r ail luosogrwydd o transistorau. Mae cerrynt allbwn yr un cyfatebol o'r lluosogrwydd cyntaf o gamau yn cael ei addasu yn seiliedig ar y gwahaniaeth rhwng y gwerth cyntaf sy'n cynrychioli swm cerrynt allbwn pob cam o luosogrwydd cyntaf y camau a'r ail werth yn cynrychioli swm y pwyntiau gosod. Wedi'i neilltuo i'r camau blaenorol.
[H03K] Technoleg pwls (mesur nodweddion pwls G01R; defnyddio pwls H03C i fodiwleiddio osciliad sinwsoidal; trosglwyddo gwybodaeth ddigidol H04L; mae'r gylched gwahaniaethol yn canfod y gwahaniaeth cyfnod rhwng y ddau signal trwy gyfrif neu integreiddio'r cyfnod osciliad H03D 3/04; Rheolaeth awtomatig, cychwyn, cydamseru neu sefydlogi generaduron sy'n annibynnol ar y math o osciliad electronig neu generadur curiad y galon neu heb ei nodi;
Dyfeisiwr: Kunal Suresh Karanjkar (Bengaluru, Indiana), Nitin Agarwal (Bengaluru, Indiana), Venkata Ramanan R (Bengaluru, Indiana) Aseinai: Texas Instruments Incorporated (Dallas, Texas) Cwmni Cyfreithiol: Dim Cyfreithwyr yn berthnasol Rhif, dyddiad, cyflymder: 16700444 ar Ragfyr 2, 2019 (rhyddhawyd y cais am 435 diwrnod)
Haniaethol: Mae'r gylched yn cynnwys cydran switsh cyntaf gyda nod mewnbwn cyntaf a nod allbwn cyntaf, ac ail gydran switsh gydag ail nod mewnbwn ac ail nod allbwn. Mae'r gylched hefyd yn cynnwys trydydd cydran switsh, mwyhadur gweithredol a byffer. Mae gan y trydydd cydran switsh drydydd nod mewnbwn a thrydydd nod allbwn. Mae'r trydydd nod mewnbwn wedi'i gyplysu â'r ail nod allbwn, ac mae'r trydydd nod allbwn wedi'i gyplysu â'r nod allbwn cyntaf. Mae gan y byffer fewnbwn byffer ac allbwn byffer. Mae'r mewnbwn byffer wedi'i gyplysu â cham mewnbwn y mwyhadur gweithredol. Mae'r allbwn byffer wedi'i gyplysu â'r drydedd gydran switsh.
[H03K] Technoleg pwls (mesur nodweddion pwls G01R; defnyddio pwls H03C i fodiwleiddio osciliad sinwsoidal; trosglwyddo gwybodaeth ddigidol H04L; mae'r gylched gwahaniaethol yn canfod y gwahaniaeth cyfnod rhwng y ddau signal trwy gyfrif neu integreiddio'r cyfnod osciliad H03D 3/04; Rheolaeth awtomatig, cychwyn, cydamseru neu sefydlogi generaduron sy'n annibynnol ar y math o osciliad electronig neu generadur curiad y galon neu heb ei nodi;
Cylched a dull ar gyfer trosglwyddo dau barth cloc cleient wedi'u hamgodio'n wahaniaethol ar y trydydd parth cloc cludwr rhwng cylchedau integredig, rhif patent 10917097
Dyfeisiwr: Drew Jenkins (Riccarson, Texas) Aseinai: Microsemi Semiconductor ULC (Kannata, California) Cwmni Cyfreithiol: Glass and Associates (2 swyddfa nad yw'n lleol) Rhif y cais, dyddiad, cyflymder: 16795520, Chwefror/19/2020 (cais wedi'i ryddhau ar gyfer 356 diwrnod)
Crynodeb: Dull ar gyfer trosglwyddo'r signalau cloc cleient cod cyntaf a'r ail ar y parth cloc cludwr rhwng cylchedau integredig. Mae'r dull yn cynnwys, yn y gylched integredig gyntaf, newid cam y signal cloc cleient cyntaf o Mae'r cam olaf a gofnodwyd wedi'i amgodio i'r sefyllfa bit ar y cam yn y signal cloc cleient cyntaf, a newid cam yr ail signal cloc cleient o'r cam olaf a gofnodwyd i'r signal cloc cludwr wedi'i amgodio mewn sefyllfa ail did yn wahanol i'r safle did cyntaf , Ac yn anfon y signal cloc cludwr gyda chyfnod amgodio signal cloc y cleient cyntaf a'r ail signal cloc cleient o'r integredig cyntaf cylched i'r ail gylched integredig trwy wifren sengl.
[H03D] Trosglwyddiad modiwleiddio neu drosglwyddiad o un cludwr i gludwr arall (laser H01S; cylched sy'n gallu gweithredu fel modulator a demodulator H03C, fel modulator cytbwys H03C 1/54; addas ar gyfer modulators a thrawsnewidwyr amledd H03C Gwybodaeth fanwl ; ar gyfer teledu lliw H04N 9/66)
Dyfeisiwr: Robert Mark Harrison (Grapevine, Texas) Aseinai: TELEFONAKTIEBOLAGET LM ERICSSON (PUBL) (Stockholm, SE) Cwmni Cyfreithiol: Dim Cwnsler Rhif Cais, Dyddiad, Cyflymder: 16586362 ar 09/ 27/2019 (cais 501 diwrnod oed wedi'i ryddhau)
Crynodeb: Yn yr erthygl hon, datgelir systemau a dulliau sy'n ymwneud â throsglwyddo a defnyddio signalau Signal Cyfeirnod Darganfod (DRS). Mewn rhai ymgorfforiadau, mae dull gweithredu pwynt trawsyrru (TP) mewn rhwydwaith cyfathrebu cellog yn cynnwys: trosglwyddo'r un trawstiau trosglwyddo un neu fwy o'r TP gan ddefnyddio o leiaf ddau drawst trawsyrru gwahanol mewn o leiaf ddau adnodd amser gwahanol. Arwydd DRS. Nodweddir pob trawst a drosglwyddir gan ei gyfeiriad allyriadau. Yn y modd hwn, mae'r TP yn cael ei alluogi i ailddefnyddio adnoddau DRS, sydd yn ei dro yn galluogi trosglwyddo signalau DRS ar nifer fwy o drawstiau trawsyrru, ac yn unol â hynny, yn addasu'r broses fesur yn y ddyfais ddiwifr i gael mesuriadau ar y trawstiau trawsyrru hynny.
Dyfeisiwr: Robert Mark Harrison (Robert Mark Harrison, Grappegern, Texas) Aseinai: Telefonaktiebolaget LM Ericsson (cyhoeddus) (Stockholm, SE) Cwmni Cyfreithiol: Sage Patent Group (1 heb fod yn swyddfa leol) Rhif y cais, dyddiad, cyflymder: 16771280 ar 12 /05/2018 (797 diwrnod o ryddhau'r cais)
Crynodeb: Yn ôl rhai ymgorfforiadau, mae dull ar gyfer trosglwyddo signalau di-wifr lluosog ar yr un pryd gan ddefnyddio elfennau antena lluosog mewn trosglwyddydd di-wifr yn cynnwys dewis modwlws anghyson (NCM) o lyfr cod NCM sy'n cynnwys NCMs lluosog Precoder gofodol Rhaggodiwr gofodol. Mae rhaggodyddion gofodol NCM lluosog yn seiliedig ar fectorau trawstio pŵer uned wedi'u optimeiddio, fel bod y trosglwyddydd diwifr yn trosglwyddo pŵer llawn pob elfen antena o'r elfennau antena lluosog ar y mwyaf, tra bod cyfanswm y pŵer trosglwyddo yn aros yn gyson. Mae'r dull hefyd yn cynnwys: cymhwyso'r rhaggodiwr gofodol NCM a ddewiswyd i'r signal data i gynhyrchu signalau cod lluosog; a throsglwyddo'r signalau cod lluosog fel signalau diwifr trwy fwyhadur pŵer sy'n gweithredu ar bŵer llawn.
Dyfeisiwr: Stephen L. Hodge (Aubrey, Texas) Aseinai: Global Tel * Link (Reston, Virginia) Cwmni Cyfreithiol: Sterne, Kessler, Goldstein Fox PLLC (Swyddfa 2 nad ydynt yn lleol) Rhif Cais: Dyddiad, Cyflymder: 15839434 ar Ragfyr 12, 2017 (1155 diwrnod ar ôl rhyddhau'r cais)
Crynodeb: Mae'r datgeliad hwn yn rhoi manylion systemau a dulliau ar gyfer addasu hysbysiadau cyfathrebu carcharorion. Mae system o'r fath yn darparu gwahanol negeseuon hysbysu wedi'u teilwra i garcharorion gael eu chwarae i bartïon a elwir yn wahanol. Mae'r system yn cynnwys rhestr o wahanol gysylltiadau, a gall pob cyswllt fod yn gysylltiedig ag un neu fwy o rifau cyswllt. Mae pob rhif cyswllt yn gysylltiedig ag un neu fwy o negeseuon hysbysu wedi'u haddasu. Mae'r neges hysbysu wedi'i haddasu yn cynnwys termau, ymadroddion, a brawddegau sy'n denu sylw'r parti a elwir ac yn swnio'n gyfarwydd i'r parti a elwir. Mae'r neges hysbysu wedi'i haddasu yn cynnwys ffeiliau testun wedi'u haddasu, ffeiliau sain a ffeiliau fideo. Mae'r carcharor yn dewis un neu fwy o negeseuon hysbysu wedi'u teilwra i'w chwarae i'r parti a elwir.
[H04M] Cyfathrebu dros y ffôn (a ddefnyddir i reoli offer arall trwy linellau ffôn ac nid yw'n cynnwys cylched offer switsio ffôn G08)
System a dull ar gyfer canfod rhagymadrodd mewn cyfathrebu llinell bŵer band cul MIMO Patent Rhif 10917279
Dyfeiswyr: Anuj Batra (Dallas, Texas), Il Han Kim (Allen, Texas), Mostafa Sayed Ibrahim (Dallas, Texas), Tarkesh Pande (Richardson, Texas) Person: OFFERYNNAU TEXAS Corfforedig (Dallas, Texas) Cwmni Cyfreithiol: Dim cyfreithiwr rhif cais, dyddiad, cyflymder: 15206489 ar Dachwedd 11, 2016 (mae angen cais 1674 diwrnod)
Crynodeb: System rhwydwaith PLC a dull i OFDM gynhyrchu fframiau MIMO gyda rhannau rhagymadrodd priodol, sydd wedi'u ffurfweddu i ddarparu cydnawsedd yn ôl â dyfeisiau PLC traddodiadol a hwyluso gwahanol dasgau derbynnydd Er enghraifft, canfod ffrâm ac amseru symbolau, amcangyfrif sianel a rheolaeth enillion awtomatig (AGC) yn cynnwys canfod rhagymadrodd dibynadwy ym mhresenoldeb sŵn ysgogiad a sianeli dewis amlder rhwydweithiau PLC. Gall y ddyfais PLC gynnwys synhwyrydd cydberthynas oedi a synhwyrydd traws-gydberthynas yn gweithredu gyda'i gilydd i hwyluso canfod rhaglith mewn un gweithrediad.
Dyfeiswyr: Krishna Prakash Bhat (Richardson, Texas), Manish Srivastava (Frisco, Texas), Ramesh Nadella (Allen, Texas), Sivanaga Ravi Kumar Chunduru Venkata (Texas State Irvine) Aseinai: Verizon Patent and Licensing Inc. (Basking Ridge, New Jersey) Cwmni Cyfreithiol: Dim rhif cais cyfreithiwr, dyddiad, cyflymder: 16227511 ar Ragfyr 20, 2018 (dyddiad cyhoeddi yw 782 diwrnod)
Crynodeb: Mae dyfais yn monitro set o wasanaethau rhwydwaith rhithwir mewn lleoliad SD-WAN ar gyfer defnydd rhwydwaith ardal rhwydwaith eang (SD-WAN) a ddiffinnir gan feddalwedd, ac yn cymhwyso set o brofion diagnostig i werthuso'r set o wasanaethau rhwydwaith rhithwir. Mae'r ddyfais yn canfod digwyddiadau sy'n gysylltiedig â gwasanaethau rhwydwaith rhithwir yn seiliedig ar fonitro casgliad o wasanaethau rhwydwaith rhithwir ac wedi'u cyfuno â chasgliad o brofion diagnostig cais. Mae'r ddyfais yn defnyddio model dadansoddi gweithrediad SD-WAN i ddadansoddi digwyddiadau i nodi problemau sy'n ymwneud â gwasanaethau rhwydwaith rhithwir, ac yn seiliedig ar fodel dadansoddi gweithrediad SD-WAN i bennu argymhellion sy'n ymwneud â datrys problemau. Mae'r ddyfais yn cynhyrchu rhyngwyneb defnyddiwr haen tynnu i gynrychioli casgliad o wasanaethau rhwydwaith rhithwir ac yn cyfleu awgrymiadau sy'n ymwneud â datrys y broblem, ac yn gweithredu awgrymiadau ar gyfer adfer y broblem ar ôl darparu'r rhyngwyneb defnyddiwr haen tynnu.
[H04L] Trosglwyddo gwybodaeth ddigidol, megis telathrebu (trefniant cyffredin ar gyfer telathrebu a chyfathrebiadau ffôn H04M) [4]
Dyfeisiwr: James D. Testerman (McKinney, Texas), James M. Burke (Frisco, Texas) Aseinai: Dell Products LP (Round Rock, Texas) Lleoliad: Norton Rose Fulbright US LLP (lleol + 13 o ddinasoedd metropolitan eraill) Rhif y cais, dyddiad, cyflymder: 15581165 ar Ebrill 28, 2017 (mae angen 1383 diwrnod o ryddhau'r cais)
Crynodeb: Mae rhai gwefannau y ceir mynediad iddynt trwy borwr yn caniatáu i ffeiliau gael eu llwytho i fyny trwy lusgo a gollwng. Yn y gweithrediad llusgo a gollwng, mae'r defnyddiwr yn dewis ffeil ar y system prosesu gwybodaeth ac yn llusgo'r ffeil i ffenestr y porwr i'w huwchlwytho trwy'r porwr. Gall systemau amgryptio ffeiliau (fel systemau ffeiliau rhithwir) weithredu algorithmau amgryptio a gorfodi safonau amgryptio a osodwyd gan ddefnyddwyr neu sefydliadau wrth uwchlwytho ffeiliau trwy borwr (gan gynnwys uwchlwythiadau ffeiliau a gyflawnir gan swyddogaethau llusgo a gollwng ffeiliau).
[H04L] Trosglwyddo gwybodaeth ddigidol, megis telathrebu (trefniant cyffredin ar gyfer telathrebu a chyfathrebiadau ffôn H04M) [4]
Dull a system ar gyfer galluogi dyfeisiau cyfathrebu i weithredu rhaglenni cymhwysiad o bell Patent Rhif 10917444
Dyfeisiwr: Daniel D. Hammond (Dallas, Texas) Aseinai: Hammond Development International, Inc. (Dallas, Texas) Cwmni Cyfreithiol: Fogarty LLP (3 swyddfa nad yw'n lleol) Rhif cais, Dyddiad, cyflymder: 16912280 ar Mehefin 25, 2020 ( cais wedi'i ryddhau am 229 diwrnod)
Crynodeb: System gyfathrebu sy'n galluogi un neu fwy o ddyfeisiau cyfathrebu i weithredu un neu fwy o raglenni cymhwysiad o bell, gan gynnwys un neu fwy o ddyfeisiau cyfathrebu ynghyd â chysylltiad data. Mae o leiaf un o'r un neu fwy o ddyfeisiau cyfathrebu yn bosibl i gyfathrebu cais i sefydlu sesiwn gyfathrebu trwy gysylltiad data. Mae'r system hefyd yn cynnwys un neu fwy o weinyddion cais ynghyd â'r cysylltiad data. Mae o leiaf un o'r gweinyddwyr cymhwysiad neu fwy yn cael ei addasu i weithredu cymhwysiad i sefydlu'r sesiwn gyfathrebu y gofynnwyd amdani gyda'r o leiaf un ddyfais gyfathrebu. Mae o leiaf un gweinydd cais wedi'i leoli ymhell i ffwrdd o o leiaf un ddyfais gyfathrebu. Mae o leiaf un gweinydd rhaglen yn cyfathrebu cais am brosesu'r gwasanaeth i o leiaf un ddyfais gyfathrebu. Mae'r cais am wasanaeth prosesu yn cael ei drosglwyddo i o leiaf un ddyfais gyfathrebu trwy'r cysylltiad data.
[H04L] Trosglwyddo gwybodaeth ddigidol, megis telathrebu (trefniant cyffredin ar gyfer telathrebu a chyfathrebiadau ffôn H04M) [4]
Dyfeisiwr: Imed Bouazizi (Plano, Texas) Aseinai: Samsung Electronics Co, Ltd. (Dinas Suwon, De Korea) Cwmni Cyfreithiol: Dim Rhif Cais Cwnsler, Dyddiad, Cyflymder: 15603244, 05/23/2017 (cais 1358 diwrnod oed)
Crynodeb: Mae offer defnyddiwr (UE) yn cynnwys uned gyfathrebu a phrosesydd. Mae'r uned gyfathrebu yn cyfathrebu â'r gweinydd. Mae'r prosesydd yn anfon cais sesiwn ffrydio Protocol Trosglwyddo Hyperdestun (HTTP) i'r gweinydd. Mae'r cais yn cynnwys arwydd bod yr UE yn cefnogi Motion Picture Experts Group (MPEG) Media Transmission (MMT), ac yn derbyn disgrifiad o gydrannau gwasanaeth MMT, gan gynnwys y rhai a gefnogir gan y gweinydd. Yn dynodi MMT. Mae'r prosesydd hefyd yn mudo'r UE i sesiwn ffrydio MMT, yn dewis o leiaf un gydran gwasanaeth o'r cydrannau gwasanaeth MMT, yn anfon neges ddethol i'r gweinydd, ac yn derbyn cyfryngau sy'n cyfateb i'r o leiaf un gydran gwasanaeth a ddewiswyd.
[H04L] Trosglwyddo gwybodaeth ddigidol, megis telathrebu (trefniant cyffredin ar gyfer telathrebu a chyfathrebiadau ffôn H04M) [4]
Dyfeisiwr: Jayachandra Varma (Irvine, Texas) Aseinai: Bank of America Corporation (Charlotte, NC) Cwmni Cyfreithiol: Banner Witcoff, Ltd. (3 swyddfa nad yw'n lleol) Rhif cais, dyddiad, Cyflymder: 16808856 ar 03/04/2020 ( caiff y cais ei ryddhau am 342 diwrnod)
Crynodeb: Mae agweddau ar y datgeliad presennol yn ymwneud â phrosesu injan yn seiliedig ar awtomeiddio gwybyddol i ledaenu data ar draws systemau lluosog trwy rwydwaith preifat i oresgyn system dechnegol, defnydd adnoddau, a chyfyngiadau pensaernïol. Gellir mewnbynnu'r data sydd i'w ddosbarthu â llaw neu ei dynnu o ffeiliau digidol. Gellir dadansoddi'r data trwy ddadansoddi'r gystrawen gywir, ei normaleiddio i'r ffurfiau arferol cyntaf i'r chweched, ac yna ei rannu'n becynnau data ar gyfer trosglwyddo data yn effeithiol, gan wirio i sicrhau bod y data'n cwrdd â'r fformat a'r safonau mewnbwn diffiniedig, a'i ddosbarthu i a rhwydwaith pwrpasol mewn storfeydd data lluosog ynghyd ag ef, fel y gellir lledaenu data heb fewnbwn â llaw dro ar ôl tro. Er enghraifft, gellir cyfoethogi data hefyd trwy gywiro unrhyw wallau neu gysylltu â data arall a allai fod yn berthnasol. Yn seiliedig ar gyfoethogi data, gellir pennu argymhellion ar gyfer nodau eraill ar gyfer lledaenu data. Gallwch hefyd gynhyrchu adroddiadau. Gellir gweithredu awtomeiddio gwybyddol mewn amser real i gyflymu prosesu.
[H04L] Trosglwyddo gwybodaeth ddigidol, megis telathrebu (trefniant cyffredin ar gyfer telathrebu a chyfathrebiadau ffôn H04M) [4]
Dyfeiswyr: Andrew G. Malis (Andover, Massachusetts), Song Haoyu (San Jose, California), Stewart Bryant (Mesham, California), Tianran Zhou (Santa Clara, California) Aseinai: Futurewei Technologies, Inc. (Plano, Texas) Y Gyfraith Cwmni: Schweigerman Lundberg Vosner, Pennsylvania (11 o swyddfeydd nad ydynt yn lleol) Rhif cais, dyddiad, cyflymder: 16/977/2018/ 10/31 (Dyddiad rhyddhau: 832 diwrnod)
Crynodeb: Yn darparu ffordd i ddefnyddio metadata mewn pecynnau Protocol Rhyngrwyd (IP). Mae'r prosesydd cyntaf yn y nod cyntaf yn gosod maes pwrpasol y pecyn data IP i werth a bennwyd ymlaen llaw i nodi bodolaeth metadata yn y pecyn data IP, ac yn mewnosod y metadata rhwng pennawd trosglwyddo gwreiddiol y pecyn data IP i drosi'r metadata Ychwanegu at y pecyn IP. Llwyth tâl pecyn gwreiddiol y pecyn IP. Mae'r ail brosesydd yn yr ail nod yn derbyn y pecyn data IP o'r nod cyntaf, yn darllen maes pwrpasol i nodi gwerth a bennwyd ymlaen llaw sy'n nodi bod metadata yn bodoli yn y pecyn data IP, ac yn nodi'r metadata yn y pecyn data IP yn seiliedig ar y gwerth a bennwyd ymlaen llaw .
[H04L] Trosglwyddo gwybodaeth ddigidol, megis telathrebu (trefniant cyffredin ar gyfer telathrebu a chyfathrebiadau ffôn H04M) [4]
Dyfeisiwr: Stephen Lee Hodge (Aubrey, TX) Aseinai: Global Tel* Link (Reston, VA) Cwmni Cyfreithiol: Sterne, Kessler, Goldstein Fox PLLC (2 swyddfa nad yw'n lleol) Rhif cais, dyddiad, cyflymder: 16820966 ar Fawrth 17, 2020 (mae'r cais yn cael ei ryddhau am 329 diwrnod)
Crynodeb: Mae Datgelu yn system prosesu cyfathrebu sy'n dod i mewn a dull ar gyfer darparu gwasanaethau cyfathrebu a data i drigolion cyfleusterau rheoledig. Yn benodol, mae'r system prosesu cyfathrebu sy'n dod i mewn yn caniatáu i bartïon allanol gychwyn cyfathrebu â thrigolion y cyfleuster rheoledig. Gellir cyfuno'r system brosesu cyfathrebu sy'n dod i mewn â'r system brosesu cyfathrebu sy'n mynd allan neu weithio ar wahân. Er mwyn atal defnydd amhriodol, gellir gweithredu llawer o gyfyngiadau a mesurau amddiffynnol o fewn y system gyfathrebu sy'n dod i mewn.
[H04M] Cyfathrebu dros y ffôn (a ddefnyddir i reoli offer arall trwy linellau ffôn ac nid yw'n cynnwys cylched offer switsio ffôn G08)
Dyfeiswyr: Eko Onggosanusi (Allen, TX), Dr. Saifur Rahman (Richardson, TX), Yang Li (Plano, TX), Young-Han Nam (Dirk Pleasant, Saskatchewan) Aseinai: Samsung Electronics Co, Ltd (Suwon- si, KR) Cwmni Cyfreithiol: Dim rhif cais cyfreithiwr, dyddiad, cyflymder: 15818331 ar Dachwedd 20, 2017 (1177 diwrnod i gyhoeddi Cais)
Crynodeb: Mae gorsaf sylfaen sy'n gallu cyfathrebu ag offer defnyddwyr (UE) yn cynnwys trosglwyddydd wedi'i ffurfweddu i drosglwyddo signalau cyfeirio gwybodaeth cyflwr sianel (CSI-RS) yn unol â chyfluniad CSI-RS, lle mae cyfluniad CSI-RS yn cynnwys porthladdoedd Antena lluosog a cyfluniad adeiladu matrics precoding gan gynnwys cyfluniad CSI-RS a dangosydd matrics precoding (PMI) ar gyfer adrodd ar y sianel a rennir downlink ffisegol (PDSCH), y ffurfweddiad adeiladu matrics rhag-godio gan gynnwys y ffactor samplu cyntaf a'r ail O [tanysgrif] 1 [/ tanysgrifiad] ac O [tanysgrif] 2 [/ tanysgrifiad], a'r rhif cyntaf a'r ail rif N [tanysgrif] 1 [/ tanysgrifiad] ac N [tanysgrif] ] 2 [/ isysgrif] Mae'r rheolydd sy'n derbyn y signal uplink o'r UE yn cynnwys dangosydd matrics rhaggodio (PMI) sy'n deillio o ddefnyddio CSI-RS yn ôl y ffurfweddiad adeiladu matrics rhag-godio, ac mae'r rheolwr wedi'i ffurfweddu i drosi'r PMI i Un o'r matricsau rhaggodio a bennwyd ymlaen llaw.
[H04M] Cyfathrebu dros y ffôn (a ddefnyddir i reoli offer arall trwy linellau ffôn ac nid yw'n cynnwys cylched offer switsio ffôn G08)
Dyfeisiwr: Robert Avanes (Roanoke, Texas), Sudhakar Reddy Patil (Flower Hills, Texas) Aseinai: Verison Patent and Licensing Inc. (Basking Ridge, New Jersey) Swyddfa: Dim cais cyfreithiwr, dyddiad, cyflymder: 16404215 ar 6 Mai, 2019 (angen 645 diwrnod o ryddhau cais)
Crynodeb: Gall y ddyfais gyfrifiadurol gynnwys cyfarwyddiadau storio cof a phrosesydd wedi'i ffurfweddu i weithredu'r cyfarwyddiadau i dderbyn cais sy'n gysylltiedig â'r tanysgrifiwr, lle mae'r cais yn cynnwys gwybodaeth sy'n nodi a yw'r defnyddiwr yn danysgrifiwr pumed cenhedlaeth (5G) neu'n wybodaeth sy'n nodi a dyfais porth Cyswllt â defnyddwyr. Efallai y bydd y ddyfais gyfrifiadurol yn cael ei ffurfweddu ymhellach i ddewis dyfais swyddogaeth polisi a rheolau codi tâl pedwerydd cenhedlaeth (4G) (PCRF) neu ddyfais swyddogaeth rheoli polisi 5G (PCF) yn seiliedig ar y wybodaeth sydd wedi'i chynnwys yn y cais a dderbyniwyd, a bydd yn derbyn y cais sy'n dod i mewn. cais yn cael ei anfon ymlaen at y ddyfais. Offer 4G PCRF dethol neu offer PCF 5G.
[H04M] Cyfathrebu dros y ffôn (a ddefnyddir i reoli offer arall trwy linellau ffôn ac nid yw'n cynnwys cylched offer switsio ffôn G08)
Dyfeisiwr: Reginald Hansbrough (Celina, Texas) Aseinai: Oracle International Corporation (Redwood Shores, California) Cwmni Cyfreithiol: Trellis IP Law Group, PC (lleoliad heb ei ganfod) Rhif cais, dyddiad, cyflymder: 15693330 ar Awst 31, 2017 (1258 diwrnod rhyddhau'r cais)
Crynodeb: Mae gweithredu fel arfer yn golygu mewnforio data a chyflwyno'r data yn y rhyngwyneb defnyddiwr (UI). Mewn rhai ymgorfforiadau, mae dull yn cynnwys defnyddio camera i ddal delwedd o wrthrych, lle mae'r gwrthrych yn cynnwys testun. Mae'r dull hefyd yn cynnwys adnabod testun. Mae'r dull hefyd yn cynnwys cynhyrchu strwythur data gan gynnwys testun. Mae'r dull hefyd yn cynnwys cynhyrchu delwedd graffigol sy'n cynrychioli o leiaf ran o'r testun. Mae'r dull hefyd yn cynnwys arddangos y ddelwedd graffig yn yr UI yn sgrin arddangos dyfais y cleient.
Dyfeiswyr: Andrew Silver (Frisco, TX), Lathan W. Lewis (Dallas, TX), Patricia A. Landgren (Plano, TX) Aseinai: Tango Networks, Inc. (Richardson, Texas) Cwmni Cyfreithiol: Dim Cwnsler Rhif Cais, Dyddiad, Cyflymder: 16780937 ar 02/04/2020 (ap 371 diwrnod i'w gyhoeddi)
Crynodeb: Yn darparu'r swyddogaeth o efelychu sbardunau rhwydwaith deallus yn y system rhwydwaith. Mae gan y derfynell symudol efelychydd rhwydwaith deallus, sydd wedi'i addasu i ganfod digwyddiad galwad a chychwyn sbardun rhwydwaith deallus efelychiedig mewn ymateb i'r digwyddiad galwad. Gall o leiaf un o'r gweinydd porth gweithredwr a'r gweinydd porth menter reoli neu gydlynu'r cynnydd galwad a weithredir yn unol â sbardun rhwydwaith deallus efelychiedig. Gellir pennu'r cynnydd galwad a wireddwyd gan y sbardun rhwydwaith deallus efelychiedig yn unol â'r polisi aelod corfforaethol neu ymddygiad cyffredinol y cwmni. Yn fanteisiol, os nad yw rhwydwaith y gweithredwr yn cefnogi seilwaith sbardun gyda swyddogaethau cychwyn sbardunau a therfynu sbardunau, neu os nad oes cytundeb crwydro sy'n caniatáu i sbardunau gael eu trosglwyddo rhwng gweithredwyr, gall defnyddio efelychydd rhwydwaith deallus ddarparu dewis arall mecanwaith. Fe'i defnyddir i ddarparu swyddogaeth gwasanaeth IN ar gyfer sbardun rhwydwaith deallus heb gefnogaeth rhwydwaith.
Dull ac offer ar gyfer dadansoddi lleoliad meicroffon ar gyfer dyfrnod ac adfer llofnod Patent Rhif 10917732
Dyfeisiwr: Marc Messier (Dallas, Texas) Aseinai: Cwmni Nielsen (UD), LLC (Efrog Newydd, Efrog Newydd) Cwmni Cyfreithiol: Hanley, Flight Zimmerman, LLC (1 swyddfa nad yw'n lleol) Rhif cais: , Dyddiad, cyflymder: 16259866 ar Ionawr 28, 2019 (743 diwrnod o gais wedi'i ryddhau)
Crynodeb: Datgelir dull a dyfais ar gyfer dadansoddi dyfrnod a llofnod a osodir gan feicroffon. Mae dyfeisiau enghreifftiol yn cynnwys meicroffonau. Mae'r ddyfais enghreifftiol hefyd yn cynnwys mesurydd sy'n trosi'r byrstio sŵn a ganfyddir gan y meicroffon yn signal digidol. Mae'r ddyfais enghreifftiol hefyd yn cynnwys trawsnewidydd signal ar gyfer pennu sbectrwm amledd y signal digidol. Mae'r cyfarpar enghreifftiol hefyd yn cynnwys prosesydd sy'n pennu'r amrywiad yn sbectrwm osgled y band amledd sy'n cyfateb i'r sbectrwm amledd, ac yn seiliedig ar yr amrywiant, yn pennu'r adferiad sy'n gysylltiedig ag o leiaf un o ganfod dyfrnod neu gynhyrchu llofnod i'w berfformio arno cyfradd. . Y signal sain a ganfyddir gan y meicroffon.
[H04R] Uchelseinyddion, meicroffonau, pickups ffonograff neu synwyryddion trydan tebyg gyda moduron acwstig; cynhyrchion ategol ar gyfer y byddar; system annerch cyhoeddus (nid yw amlder y sain a gynhyrchir yn cael ei reoli gan amlder cyflenwad pŵer G10K) [6]
Dyfeisiwr: Rudolph L. Mappus, IV (Plano, Texas) Aseinai: ATT Eiddo Deallusol I, LP (Atlanta, Georgia) Cwmni Cyfreithiol: Guntin Gust, CCC (3 swyddfa nad yw'n lleol) Rhif cais: dyddiad, cyflymder: 15906945 ar Chwefror 27, 2018 (1078 diwrnod ar ôl rhyddhau'r cais)
Crynodeb: Gall agweddau ar y ddyfais bresennol gynnwys, er enghraifft, y broses ganlynol: Trwy'r broses hon, mae'r data cyntaf sy'n ymwneud â gwrthrych cyntaf a ganfyddir gan y ddyfais symudol yn yr ardal yn cael ei dderbyn o'r ddyfais symudol, ac mae'r data cyntaf wedi'i drosglwyddo i swm penodol gan y ddyfais symudol. casglu. Wrth symud o'r safle cychwynnol yn yr ardal i ffin yr ardal ar hyd y llwybr cyntaf, cesglir nifer y pwyntiau casglu cyntaf. Mae'r data cyntaf wedi'i agregu â'r ail ddata a gasglwyd yn flaenorol am yr ail wrthrych yn yr ardal, ac mae'r ail ddata wedi'i gasglu ar luosogrwydd o ail bwyntiau casglu ar hyd ail lwybr sy'n wahanol i'r llwybr cyntaf. Mae'r ail ddata yn cynnwys dangosydd safle ar gyfer pob ail wrthrych wedi'i fynegeio yn ôl y safle cychwynnol. Yn seiliedig ar y cyfanred, mae map o'r ardal yn cael ei gynhyrchu a'i anfon at y ddyfais symudol. Mae ymgorfforiadau eraill yn cael eu datgelu.
Dyfeisiwr: Devaki Chandramouli (Plano, Texas) Aseinai: Nokia Solutions and Networks Limited (Espoo, FI) Cwmni Cyfreithiol: Squire Patton Boggs (UDA) LLC (13 heb fod yn Swyddfa leol) Rhif cais, dyddiad, cyflymder: 14371881 ar Ionawr 13, 2012 (mae angen rhyddhau 3315 diwrnod o gais)
Crynodeb: Mae un ymgorfforiad yn cynnwys dull a chyfarpar ar gyfer llwybro negeseuon mewn pensaernïaeth cyfathrebu math peiriant (MTC). Mae'r dull yn cynnwys derbyn y cais gan asiant MTC sy'n byw wrth allanfa'r rhwydwaith. Mae'r cais yn cynnwys yr Hunaniaeth Tanysgrifiwr Symudol Rhyngwladol (IMSI) y mae ei gyrchfan y tu allan i'r rhwydwaith. Mae'r dull hefyd yn cynnwys disodli'r IMSI yn y cais gyda'r dynodwr allanol gan yr asiant MTC.
Rheoli galwadau dyfeisiau symudol wrth weithredu cerbyd modur, mae negeseuon testun a data yn defnyddio rhif patent 10917830
Dyfeisiwr: Andrew Silver (Frisco, Texas) Aseinai: TANGO NETWORKS, INC. (Frisco, Texas) Cwmni Cyfreithiol: Dim Cwnsler Rhif Cais, Dyddiad, Cyflymder: 16780951 ar 02/04/2020 (371 diwrnod o ryddhad cais)
Crynodeb: Datgelir system a dull ar gyfer rheoleiddio cyfathrebu dyfeisiau symudol wrth weithredu cerbyd modur. Gall dull enghreifftiol gynnwys pennu digwyddiad cyflymder sy'n nodi bod cyflymder y cerbyd modur wedi mynd y tu hwnt i o leiaf un o'r trothwy cyntaf, y mae'r polisi cyfyngu ar ddefnyddio dyfeisiau symudol yn uwch na'r trothwy hwn yn cael ei weithredu ac ar yr ail drothwy Mae'r canlynol yn caniatáu i bolisïau cyfyngu dyfeisiau symudol i cael ei ddienyddio. dileu. Gall y trothwy cyntaf fod yn hafal i'r ail drothwy neu'n fwy na hynny. O ganlyniad i gael y digwyddiad cyflymder, gall y dull hefyd ddarparu trosglwyddiad y digwyddiad cyflymder i weinydd porth ymyl anghysbell ymhell i ffwrdd o'r car, sy'n storio'r digwyddiad cyflymder er mwyn cyfeirio ato, fel y gellir ei ddefnyddio fel cyfeiriad pan pennu strategaeth ar gyfer llwybro galwadau i ddyfais symudol benodol. , sesiynau SMS a/neu ddata symudol.
Dyfeisiwr: Ralf Matthias Bendlin (Plano, Texas), Runhua Chen (Plano, Texas) Aseinai: OFFERYNNAU TEXAS WEDI'U CYNNWYS (Dallas, Texas) Cwmni Cyfreithiol: Dim Cwnsler Rhif Cais, dyddiad, cyflymder: 16259404 ar 01/28/2019 (743) diwrnodau o gais wedi'i ryddhau)
Crynodeb: Mae'r offer defnyddiwr yn defnyddio'r is-adnodd CSI-RS cyntaf i gael y mesuriad cyntaf, ac yn defnyddio'r ail is-adnodd CSI-RS i gael yr ail fesuriad. Mae'r offer defnyddiwr yn deillio un broses CSI yn seiliedig ar y mesuriadau cyntaf a'r ail fesuriadau, ac yn adrodd ar y broses CSI i'r orsaf sylfaen. Mae'r offer defnyddiwr yn derbyn neges o'r orsaf sylfaen, sy'n ffurfweddu'r is-adnodd CSI-RS cyntaf a'r ail is-adnodd CSI-RS sy'n cyfateb i un broses CSI i'w hadrodd gan yr offer defnyddiwr. Mae'r neges o'r orsaf sylfaen yn cynnwys cyfluniad yr is-adnodd CSI-RS cyntaf a chyfluniad ar wahân yr ail is-adnodd CSI-RS. Ar gyfer yr is-adnoddau CSI-RS cyfatebol, mae cyfluniad pob is-adnodd CSI-RS yn cynnwys o leiaf mynegai is-adnodd CSI-RS, cyfnodoldeb a gwrthbwyso. Fel arall, gall yr offer defnyddiwr ddefnyddio unrhyw nifer o is-adnoddau CSI-RS i gael mesuriadau, ac yna deillio ac adrodd ar un broses CSI yn seiliedig ar fesuriadau lluosog.
[H04L] Trosglwyddo gwybodaeth ddigidol, megis telathrebu (trefniant cyffredin ar gyfer telathrebu a chyfathrebiadau ffôn H04M) [4]
Dyfeiswyr: Bong Hoe Kim (Anyang City, KR), Dong Youn Seo (Anyang City, KR), Eun Sun Kim (Anyang City, KR), Joon Kui Ahn (Anyang City, KR) Aseinai: Optis Cellular Technology, LLC (Plano , Texas) Cwmni Cyfreithiol: Nixon Vanderhye PC (2 swyddfa nad yw'n lleol) Rhif cais, dyddiad, cyflymder: 16835690 ar Fawrth 31, 2020 (dyddiad cau ar gyfer ceisiadau yw 315 diwrnod) Cwestiwn )
Crynodeb: Mewn system cyfathrebu symudol diwifr, mae offer defnyddwyr (UE) yn defnyddio blociau adnoddau i dderbyn data downlink. Mae'r UE yn derbyn gwybodaeth reoli downlink yn seiliedig ar wybodaeth reoli downlink, sy'n cynnwys gwybodaeth dyrannu adnoddau a data downlink wedi'i fapio i floc adnoddau ffisegol (PRB). Mae'r wybodaeth dyrannu adnoddau yn dangos dyraniad bloc adnoddau rhithwir (VRB) ar gyfer yr UE. Mae mynegai'r PRB y mae'r data downlink wedi'i fapio iddo yn cael ei bennu ar sail y berthynas fapio rhwng y bloc adnoddau rhithwir (VRB) a'r PRB. Diffinnir y berthynas fapio yn seiliedig ar fynegai'r VRB lle mae slot cyntaf yr is-ffrâm ac ail slot yr is-ffrâm yn cael eu mapio i fynegai'r PRB. Yn seiliedig ar y bwlch a bennwyd ymlaen llaw, mae mynegai'r PRB ar gyfer yr ail slot yn cael ei symud o'i gymharu â mynegai'r PRB ar gyfer y slot cyntaf. Mae'r berthynas fapio yn cynnwys trosi mynegai VRB yn seiliedig ar fatrics.
Dyfeiswyr: Jun Hao (Frisco, TX), Wang Wang (Plano, TX), Wan Zhiwen Wan (Plano, TX) Aseinai: Corfforaeth Ryngwladol Polymer Naturiol (Plano, Texas) Cwmni Cyfreithiol: Chalker Flores, LLP (lleol) rhif cais, dyddiad, cyflymder: Hydref 11, 2019 29709146 (487 diwrnod i gyhoeddi'r cais)
Dyfeisiwr: Gavin Stener (Dallas, Texas) Aseinai: Wild West Investments, LLC (Dallas, Texas) Cwmni Cyfreithiol: Foley Lardner LLP (lleol + 13 o ddinasoedd metropolitan eraill) Rhif cais, dyddiad, Cyflymder: 29740038 o 30 Mehefin, 2020 (224 diwrnodau rhyddhau cais)
Dyfeiswyr: Clegg Smith (Keller, Texas), James E. Kooiman (Fort Worth, Texas), Jason P. Winter (Fort Worth, Texas), Jeffrey M. Williams (Hudson Oaks, Texas), Lynn F. Eschete (Arlington, TX), Michael E. Rinehart (Euless, Texas), Troy T. Bushmill (aseinai: Bell Helicopter Textron (Fort Worth, Texas)) Cwmni Cyfreithiol: Timmer Law Group, PLLC (1 cais nad yw'n lleol), rhif cais, dyddiad , cyflymder: 29670350 (Tachwedd 15, 2018) (Mae angen rhyddhau'r cais am 817 diwrnod)
Dyfeisiwr: Christopher A. Stockton (Greenville, Texas) Aseinai: ABB Schweiz AG (Baden, CH) Cwmni Cyfreithiol: Leydig, Voit Mayer, Ltd. (7 swyddfa nad yw'n lleol) Rhif cais , Dyddiad, cyflymder: Awst 8, 2019 29682907 (704 diwrnod o ryddhau cais)
Dyfeiswyr: Brently Craig Wilkerson (Dallas, TX), Jack Jay Potts (Dallas, TX), Patrick Lee Hodges (Dallas, TX), William Browning Washington, IV (Dallas, TX) Dallas), William Henry Sands, III (Dallas) (Dallas, Texas), William Henry Sands (Jr.) (Aseinai: Squibb Taylor, Inc. (Texas) Talaith Dallas)) Cwmni Cyfreithiol: Ferguson Braswell Fraser Kubasta PC (3 swyddfa nad yw'n lleol) rhif cais, cyflymder dyddiad: 29676144 ar Ionawr 9, 2019 (cyhoeddwyd cais am 762 diwrnod)
Dyfeisiwr: Michael Woodford Freitas (Burnet, TX), Shannon Marie Scherr (Carrollton, TX) Aseinai: IDM Tooling, LLC (Carrollton, TX) Cwmni Cyfreithiol: Carstens Cahoon, LLP (lleol) rhif cais, dyddiad, cyflymder: 29700830 ar Mehefin 6, 2019 (gofynnol i ryddhau 553 diwrnod o gais)
Dyfeiswyr: Chris Wilson (Arlington, Texas), Josiah William (Columbia, Ohio), Ron Bagley (Arlington, Texas), Sunil Pinto (Arlington, TX) Aseinai: CWMNI GWEITHGYNHYRCHU DOSKOCIL, INC (Arlington, TX) Cwmni Cyfreithiol: Global Intellectual Ymgynghorydd Eiddo, LLP (9 swyddfa nad ydynt yn lleol) Rhif cais, dyddiad, cyflymder: 29674707 ar Rhagfyr 24, 2018 (778 diwrnod i'w cyhoeddi)
Mae pob logo a delwedd brand yn eiddo i'w perchnogion priodol. Mae pob enw cwmni, cynnyrch a gwasanaeth a ddefnyddir ar y wefan hon at ddibenion adnabod yn unig. Mae unrhyw nodau masnach a nodir yn yr erthygl hon yn eiddo i'w perchnogion priodol.
Oni nodir yn wahanol yn nheitl y ddelwedd, dim ond cysyniad yr artist a/neu argraff artistig at ddibenion darlunio ac arddangos golygyddol yw'r ddelwedd nodwedd. Nid yw'r delweddau'n cynrychioli unrhyw amodau presennol nac amodau'r dyfodol, ac ni fwriedir iddynt gynrychioli patentau penodol, oni nodir yn wahanol yn y disgrifiad o'r llun a/neu'r credyd llun.
Dywedodd yr arloeswyr hyn o Ogledd Texas unwaith “Y Gair Olaf”. Dyma gasgliad o ddyfyniadau enwog sy'n ysbrydoli, hysbysu, ysbrydoli neu wneud i ni chwerthin.
Bob diwrnod gwaith, bydd Dallas Innovation yn dod â'r wybodaeth ddiweddaraf i chi, efallai y byddwch chi'n colli'r gweithgareddau arloesi yn y maes hwn,…
Felly, rydym bob amser yn chwilio am gystadlaethau a chystadlaethau, seremonïau gwobrwyo, a grantiau sydd ar gael y gall ein harloeswyr wneud cais amdanynt. …
Fel yr ateb cyntaf a’r unig ateb i weithio gyda chyflogwyr i reoli materion aflonyddu a gwahaniaethu yn y gweithle, ymchwiliadau ac atebion, mae Work Shield bellach yn cyhoeddi ei phorth…
Wrth i ddysgu o bell ddod yn norm yn 2020, mae UWorld mewn sefyllfa dda i ehangu'r farchnad ar gyfer paratoi ar-lein ar gyfer arholiadau sydd â llawer yn y fantol.
Dywedodd yr arloeswyr hyn o Ogledd Texas unwaith “Y Gair Olaf”. Dyma gasgliad o ddyfyniadau enwog sy'n ysbrydoli, hysbysu, ysbrydoli neu wneud i ni chwerthin.
Bob diwrnod gwaith, bydd Dallas Innovation yn dod â'r wybodaeth ddiweddaraf i chi, efallai y byddwch chi'n colli'r gweithgareddau arloesi yn y maes hwn,…
Felly, rydym bob amser yn chwilio am gystadlaethau a chystadlaethau, seremonïau gwobrwyo, a grantiau sydd ar gael y gall ein harloeswyr wneud cais amdanynt. …
Fel yr ateb cyntaf a’r unig ateb i weithio gyda chyflogwyr i reoli materion aflonyddu a gwahaniaethu yn y gweithle, ymchwiliadau ac atebion, mae Work Shield bellach yn cyhoeddi ei phorth…
Wrth i ddysgu o bell ddod yn norm yn 2020, mae UWorld mewn sefyllfa dda i ehangu'r farchnad ar gyfer paratoi ar-lein ar gyfer arholiadau sydd â llawer yn y fantol.
Mae Dallas Innovates yn gydweithrediad rhwng Siambr Fasnach Ranbarthol Dallas a phartneriaid D Magazine. Mae'n blatfform newyddion ar-lein sy'n cynnwys y newyddion diweddaraf yn Dallas a'r newyddion diweddaraf - Fort Worth Innovations.


Amser post: Mawrth-18-2021

Anfonwch eich neges atom:

Ysgrifennwch eich neges yma a'i hanfon atom
Sgwrs WhatsApp Ar-lein!